Job Search Results

410 listings were found that matched your search words: Keywords: Electronic ( Please note: We assume that all U.S. jobs are "EOE/M/F/D/V" unless otherwise noted. ) ( Listing generated 2024/04/16 Tuesday 03:27PM Pacific Time by ContractJobHunter. )

Listings 1 - 410

Go To My Job Tracker

Expand all descriptions

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aerospace Quality Inspectors

Description:
STS Technical Services is hiring Aerospace Quality Inspectors in Hawthorne, California.

Note: Our staffing services come at no cost to you, the candidate. This is job seeking made simple! For more details, please contact our recruiters at 1-800-359-4787.

Job Summary:

To ensure the repair stations operations are conducted in accordance with the pertinent FAA Regulations, CHEP’s Quality Manual/Procedures, Customer Air Carrier Requirements and is designated with final airworthiness determination.

Major/Key Accountabilities:

The Chief Inspector will have the final airworthiness authority for the release, as airworthy, of the units the repair station is rated to repair and maintain
Responsible for ensuring all articles delivered to and maintained by the repair station are properly recorded and staged from receipt to delivery in their airworthy/serviceable condition
Managing and delegating to the repair stations inspection staff ensuring the quality and production needs are maintained
Perform, and have oversight of inspection personnel/activity, including Preliminary, Hidden Damage, In-Progress and Final inspections/RTS of aircraft articles processed by the Repair Station and assuring proper recording of results
Ensure compliance with pertinent FAA regulations, manufacturer’s technical data/approved supplements, RSM/QCM and customer requirements
Report all quality related issues to the QA Manager as soon as the Facility Manager is advised and ensure corrective actions are implemented
Confirm that all equipment being maintained by the repair station is on the Capabilities List, the proper data is available and in use, the staff is properly trained and all records of work/release are complete/accurate
Perform duties delegated by Quality Assurance Manager
Directly responsible for the compliance with the FAA Approved training program manual; including indoctrination, initial, recurrent, ongoing, semi-annual, and specialized training
Ensure any applicable Airworthiness Directive are posted and are being used and verify compliance with all other supplements, approvals, requirements
Submit reports of defect or un-airworthy condition to the “Service Difficulty Reports”
Assume all duties and responsibilities detailed in the RSQCM to include; calibration program, shelf life program, internal auditing, BER/scrap, work turn over, suspected unapproved parts, salvage parts program and incoming goods
Performing semi-annual internal audit of the repair station and any approved contractors
Coordinate with station manager inspection requirements
Ensure and assist in all areas of the Safety Program and Zero Harm Program to ensure that they are fully enforced and by all CHEP employees
Provide feedback/suggestion to improve customer satisfaction
Communicate with customers and regulatory agencies during audits or visits
Ensure system security of our FAA approved electronic recordkeeping system regarding their area/s of responsibility
Maintain safe and clean work environment

Measures:

Overseeing the quality of products in accordance with monthly budgeted production goals
Maintaining quality standard in accordance with the regulations, customer requirements and internal procedure
Establishing a quality and compliance system which will result in no major audit finding
Equipment rejection rates shall be at 98% or higher
Maintaining positive MTTR
Contributing to positive MOP by controlling wastes
Enforcing the CHEP Zero Harm Culture and goals
Authority/ Decision Making:
According to the company matrix

Qualifications:

Appropriately certificated under Part 65 (A&P or FAA Repairman)
A&P License REQUIRED
Ability to work in a high activity/fast paced environment while managing associated stress
Possesses excellent organizational skills and ability to work independently
Organize responsibilities of the inspection staff and delegate duties to properly qualified inspection personnel
Effectively execute training in accordance with the Approved Training Program and internal requirements
Ability to make quality decisions and effectively solve problems
Strong verbal and written communication skills, including the ability to facilitate meetings and effectively lead groups
Ability to build and maintain effective working relationships with all levels of management and staff
Ability to lift, pull, and push in excess of 65 lbs
Must be able to read, write and understand the English language
Confident personality with the ability to interface/communicate with the authorities and customers
Experience in performing Audits
Strong knowledge of FAA regulations and Part 145 requirements
Competent in reading and interpreting manuals, drawings, policies
Good communication and punctuation skills
Computer literacy and familiar with Microsoft office
Ability to learn new software programs and systems

Benefits:

STS Technical Services offers direct deposits / electric payroll, an awesome medical insurance program, cash advances, and the opportunity to refer a friend for compensation.

We know it takes great people to do great work, so if you’re interested in joining the STS Aviation Group family, we encourage you to apply on this page. Have questions? Give us a call at 1-800-359-4787.

Thanks for taking the time, and we look forward to hearing from you soon.
 
Job Number: 43601
Job Location: Hawthorne, CA
Duration: Contract
Input Date: 11/14/2020
Firm Name: STS AEROSTAFF SERVICES
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aircraft Data Entry Operators

Description:
STS Technical Services is currently hiring Aircraft Data Entry Operators for open positions in Denver, Colorado.

Note: Our staffing services come at no cost to you, the candidate. This is job seeking made simple! For more details, please contact our recruiters at 1-800-359-4787.

Job Summary:

The Aircraft Data Entry Operators will oversee all aspects of Records retention, maintaining accurate records for each aircraft and components. Audit online records and approved maintenance record database daily, ensuring all maintenance entries are accounted for and accurate. Organizes and reviews all aircraft data relating to the TCI, aircraft flight times, aircraft log pages, and maintenance activities that have been performed on the aircraft to ensure proper airworthiness in accordance with CFRs.

Job Duties:

Ensure the accuracy and completeness of all records; update databases for lease return and induction activities; Scan or upload all documentation to the ERRP.
Read & interpret technical data, to be input in the maintenance database, as required.
Ensure all maintenance records received into Aircraft Records are entered into approved maintenance record database daily.
Scan, Audit, and index all documents into the ERRP to comply with the FARs.
Audit the documentation for accuracy and completion as outlined in the GMM & FARs.
Accurately track all serialized components on the aircraft.
Record hours/cycles daily; correct aircraft hours and cycles on parts tags, EOs, ADs, FCDs, and A-Checks for accurate data input into the Maintenance database.
Enter component removal and replacement in the maintenance database; ensure that part numbers and serial numbers are accurate.
Perform other duties as required or directed from supervisor of the department.

Qualifications:

High school diploma or GED & the ability to interpret technical data.
Four years of aircraft related work & familiarity with Aviation terminology.
Effective oral and written communication skills.
Must demonstrate behavior that portrays the company core values of Safety, Respect, Trust, Collaboration, Value, and Passion while communicating with personnel and acting on behalf of the company.
Preferred - Experience with aircraft MRO systems & Experience with electronic records management systems.
Preferred - Previous aircraft records experience.

Benefits:

STS Technical Services offers direct deposits / electric payroll, an awesome medical insurance program, cash advances and the opportunity to refer a friend for compensation.

We know it takes great people to do great work, and if you’re interested in joining the STS Aviation Group family, we encourage you to apply on this page. Have questions? Give us a call at 1-800-359-4787.

Thanks for taking the time, and we look forward to hearing from you soon.
 
Job Number: 35489
Job Location: Denver, CO
Duration: Contract
Input Date: 11/14/2020
Firm Name: STS AEROSTAFF SERVICES
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
CAD Operator

Description:
Must be proficient in AutoCAD (version 2014 or higher). Must be proficient using Windows based software and MS office 2000 or higher (Excel, Word, PowerPoint, etc.). Must have strong organizational skills. Someone with a little bit of experience in the construction field



PREFERRED.


  • More along with electrical or mechanical construction, not electronic board layouts.

  • Willing to look at candidates recently graduated from school but has some business experience as a CAD person.

  • High school or equivalent education required.

  • Resumes need to be well constructed, accurate, spell checked, etc. which shows attention to detail with the desire to do a good job. It shows that the candidates care about what they put their name on.

  • Receive project assignments from Design Team member.

  • Under supervision, prepare construction drawings required to support the installation of electronic special systems (fire alarm, security, access control, and other low voltage specialty systems)

  • Electronic systems drawings will typically consist of items such as floor plan layouts, riser diagrams, electrical interconnections drawings and installation terminations.

  • ¿Prepare submittal documentation (i.e.,. data sheets, battery calculations) Maintain project drawing files, and associated records and documentation tracking & maintaining as-built drawings Perform other duties as assigned.




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.


 
Job Number: 18-43362
Job Location: Irving, TX
Rate: 22.46USD/Hour
Per Diem: --
Overtime: --
Duration: 2018-08-05 00:00:00.0
Start Date: 2018-06-25 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Sebastian Hernandez
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
CNC Machinist

Description:
CNC Machinist applies advanced skills in administration and use of procedures, techniques, tools, materials and/or equipment, as appropriate to area of specialization. 2. Independently perform repairs of mechanical and electrical assemblies and subassemblies through removals, repair or replacement of defective parts and/or fabrication of replacement parts, and installations in accordance with blueprints, component maintenance manuals, vendor documents, verbal instructions, engineering dispositions, and other authorized maintenance data. All activities to be in accordance with Repair Station requirements ensuring all parts and assemblies meet conformance. 3. Set up and operate necessary test equipment in accordance with required documentation to perform functional and operational tests of mechanical and electrical aircraft components for return to service. 4. Inspect all detail parts using blueprints, component and/or overhaul manuals and other required maintenance data to ensure conformity requirements are met. 5. Remove skins and related components on flight controls and secondary structure components, locate and install/reinstall parts. 6. Demonstrate expertise and efficiency in repair techniques using acceptable practices including troubleshooting, soldering and application of protective coatings. 7. Demonstrate expertise in use, maintenance, and calibration requirements of precision measuring and inspection tools such as, but not limited to, micrometers, calipers, bore gauges, multimeters, watt meters, spectrum analyzers, and borescopes. 8. Demonstrate expertise in the flow of materials and work order processes within the repair station. 9. Maintain and utilize all required paperwork in accordance with FAA Repair Station requirements. 10. Maintain a clean and orderly work area. 11. Comply with all company and legislative environmental health and safety regulations. Must be proficient in the setup and operation of 3-5 axis CNC Mills. Must be able to setup and operate conventional mills and lathes and use related precision measuring tools to inspect machined detail components to blueprints / 3D models.


CNC Machinist Lay-out, remove, fabricate and/or install major and minor repairs to flight controls and other secondary structure components using honeycomb core sandwich construction techniques, composite repair techniques, test bench procedures, hydraulic press procedures, and servicing carts. 2. Fabricate and repair sheet metal and associated mechanical parts, components and/or equipment by rolling, shrinking, stretching, braking, riveting or other mechanical means. 3. Remove & install APUs and perform all required APU maintenance for all aircraft models 4. Perform repairs to electronic assemblies and subassemblies by removing and replacing circuit components, either through hole or surface mount, using acceptable soldering and circuit repair techniques. 5. Fabricate and repair wiring and electrical assemblies and harnesses utilizing acceptable repair and fabrication processes including splicing, soldering, and pinning of wires. 6. Assist with training and instructing of other Acft Compo Repair I and II Techs. 7. Perform other duties as assigned.
HS diploma or GED. A&P license, FCC license, or NCATT cert preferred. Able to read/interpret blueprints, engrg docs and use/read precision measuring tools. Proficient in the operation of all tools & equip in the dept. Basic understanding of shop math. 4 yrs. experience in one of the following or other related expr: Sheetmetal, hydraulic overhaul, avionics/elect compo repair. Accredited schooling in electronics and elect sys may offset some experience reqmts. APU removal, install, service.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Chief Aviation Inspectors

Description:
STS Technical Services is Hiring Chief Aviation Inspectors in Inwood, New York.

Note: Our staffing services come at no cost to you, the candidate. This is job seeking made simple! For more details, please contact our recruiters at 1-800-359-4787.

Job Purpose:
To ensure the repair stations operations are conducted in accordance with the pertinent FAA Regulations, client’s Quality Manual/Procedures, Customer Air Carrier Requirements and is designated with final airworthiness determination.

Job Duties:
The Chief Inspector will have the final airworthiness authority for the release, as airworthy, of the units the repair station is rated to repair and maintain
Responsible for ensuring all articles delivered to and maintained by the repair station are properly recorded and staged from receipt to delivery in their airworthy/serviceable condition
Managing and delegating to the repair stations inspection staff ensuring the quality and production needs are maintained
Perform, and have oversight of inspection personnel/activity, including Preliminary, Hidden Damage, In-Progress and Final inspections/RTS of aircraft articles processed by the Repair Station and assuring proper recording of results
Ensure compliance with pertinent FAA regulations, manufacturer’s technical data/approved supplements, RSM/QCM and customer requirements
Report all quality related issues to the QA Manager as soon as the Facility Manager is advised and ensure corrective actions are implemented
Confirm that all equipment being maintained by the repair station is on the Capabilities List, the proper data is available and in use, the staff is properly trained and all records of work/release are complete/accurate
Perform duties delegated by Quality Assurance Manager
Directly responsible for the compliance with the FAA Approved training program manual; including indoctrination, initial, recurrent, ongoing, semi-annual, and specialized training
Ensure any applicable Airworthiness Directive are posted and are being used and verify compliance with all other supplements, approvals, requirements
Submit reports of defect or un-airworthy condition to the “Service Difficulty Reports”
Assume all duties and responsibilities detailed in the RSQCM to include; calibration program, shelf life program, internal auditing, BER/scrap, work turn over, suspected unapproved parts, salvage parts program and incoming goods
Performing semi-annual internal audit of the repair station and any approved contractors
Coordinate with station manager inspection requirements
Ensure and assist in all areas of the Safety Program and Zero Harm Program to ensure that they are fully enforced and by all client employees
Provide feedback/suggestion to improve customer satisfaction
Communicate with customers and regulatory agencies during audits or visits
Ensure system security of our FAA approved electronic recordkeeping system regarding their area/s of responsibility
Maintain safe and clean work environment
Overseeing the quality of products in accordance with monthly budgeted production goals
Maintaining quality standard in accordance with the regulations, customer requirements and internal procedure
Establishing a quality and compliance system which will result in no major audit finding;
Equipment rejection rates shall be at 98% or higher
Maintaining positive MTTR
Contributing to positive MOP by controlling wastes
Enforcing the client Zero Harm Culture and goals

Qualifications:
2+ years’ experience as a lead inspector or manager in related aviation field
5 years’ aviation related industry experience
Confident personality with the ability to interface/communicate with the authorities and customers
Experience in performing Audits
Strong knowledge of FAA regulations and Part 145 requirements
Competent in reading and interpreting manuals, drawings, policies
Good communication and punctuation skills
Computer literacy and familiar with Microsoft office
Ability to learn new software programs and systems
Appropriately certificated under Part 65 (A&P or FAA Repairman)
Ability to work in a high activity/fast paced environment while managing associated stress
Possesses excellent organizational skills and ability to work independently
Organize responsibilities of the inspection staff and delegate duties to properly qualified inspection personnel
Effectively execute training in accordance with the Approved Training Program and internal requirements
Ability to make quality decisions and effectively solve problems
Strong verbal and written communication skills, including the ability to facilitate meetings and effectively lead groups
Ability to build and maintain effective working relationships with all levels of management and staff
Ability to lift, pull, and push in excess of 65 lbs
Must be able to read, write and understand the English language
Part 65 A&P or Repairman Certificate

Benefits:
STS Technical Services offers direct deposits / electric payroll, an awesome medical insurance program, cash advances, and the opportunity to refer a friend for compensation.

We know it takes great people to do great work, so if you’re interested in joining the STS Aviation Group family, we encourage you to apply on this page. Have questions? Give us a call at 1-800-359-4787.

Thanks for taking the time, and we look forward to hearing from you soon.
 
Job Number: 44529
Job Location: Inwood, NY
Duration: Contract
Input Date: 11/14/2020
Firm Name: STS AEROSTAFF SERVICES
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Circuit Board Designer - Cadence Allegro (320)

Description:
*This is a full time, 1 year contract position, located in Hudson, NH*



We are looking for an Electronic Designer that has the ability to create 5+ layer layouts of printed electronic circuit boards using Cadence Allegro and Cadence Concept.



Candidate will create complete deliverable fabrication package and fabricate both the bare board and assembly, enter design rules into Cadence constraint manager, prepare layouts using IPC-2221, modify and create new to schematic diagrams with Schematic Capture, Cadence Concept HDL5, working with ECOs and Oracle PDM tools to direct draftspeople to make changes into drawings.



Required:
*15+ years’ experience in Printed Circuit Board layout, most of which, using Cadence Allegro 17.2 (16.6 may also be acceptable)
*Good knowledge of Cadence Constraint Manager and Concept HDL 5.
*Familiar with IAW IPC-2221 standards, ECOs, BOMs, and PDM tools
*Knowledge of Signal Integrity and Designs
*AS degree in engineering technology, drafting or equivalent.
Pluses:
*BSEE or BSME
 
Job Number: 320
Job Location: Hudson, NH
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Digital Design Engineer

Description:
Job Description:


  • Client is seeking an individual with specialized skills in digital design tools with a firm background in computer operating systems and processes necessary to develop Client and unique digital circuit blocks and apply advanced algorithms in next generation silicon processes.

  • Primary job functions will include system administration, installation, and maintenance of an advanced circuit design environment consisting of commercial- and government- developed electronic design software.

  • Other duties may include supporting analog and RF design, developing standard cell libraries, and testing fabricated chipsets.

  • The selected candidate will represent our Client and DoD customers in outside discussions and technical forums.

  • This position will require some travel and require a security clearance.




Required Skills & Experience


  • A BS Degree in Electrical/Electronic Engineering or Computer Science with five (5) years of experience is required.

  • The applicant must be familiar with the Red Hat enterprise operating system or comparable Linux distributions, installation, maintenance, port security, backup, and shell scripting.

  • Familiarity with installation and maintenance of the advanced Cadence Design Systems IC design flow, Synopsys, and Mentor Graphics tools is required.

  • The applicant must be capable of debugging and troubleshooting electronic design tool installation/maintenance and interaction with 3rd party tools and process design kits.

  • Experience in deriving verification test benches from detailed specifications is required.

  • Familiarity with at least one (1) commercial scripting programming language such as

  • PERL or Python is required.




Desired Skills and Experience

Additional experience in digital circuit design is desired, including: Verilog/VHDL behavioral modeling, Digital IC design for manufacturing (JTAG insertion, test vector generation, Built-In Self-Test), an understanding of physical design issues including layout, familiarity with memory compilers, Cadence Skill code development, and fundamentals of Process Design Kits (PDKs).



Special Requirements/ Certifications:

Must be US citizen and eligible for security clearance. The National Agency Check with Inquiries (NACI) is a background investigation primarily for federal employees who will not have access to classified information. DoD clearance is not required.

Opportunity for contract-to-hire based on worker performance, worker attendance and dependability and client business needs
 
Job Number: 18-12267
Job Location: Dayton, OH
Rate: 0.0USD/Hour
Per Diem: SPLIT
Overtime: Possible
Duration: 2018-08-10 00:00:00.0
Start Date: 2018-05-13 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Cody Grimes
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
ELECTRONICS DESIGN ENGINEER

Description:
JSG is seeking an Electronics Design Engineer for our Aerospace Client in Glendale, CA.
LOCAL CANDIDATES ONLY - Direct Hire

Work as part of a world-class, multi-disciplinary team to develop ruggedized electronic products incorporating embedded microprocessors, and transition them into production for aerospace, military, sub-sea, and other harsh-environment applications.

Job Description:

Work from Marketing Requirements to develop electronic hardware designs incorporating embedded microprocessors responsive to the requirements, including
Product design architecture
parts and vendor selection
detailed hardware design and schematic generation and capture in PADS
preliminary parts layout for fit checks
work with PCB layout design team and PCBA vendors
work with mechanical design team
develop firmware/scripts/applications to test hardware
Debug and troubleshoot new designs
Execute functional verification of electrical subsystems
Support manufacturing for all designs including microprocessors, including
Work with Test Engineering to develop appropriate acceptance test stations in production
Troubleshoot problems in production and at customer sites
Work with Product Marketing and Business Development to prepare responses to customer Requests for Proposals
Customer specification review
Preliminary design concepts
Estimate engineering effort to execute designs and firmware/software
Preliminary bill of materials and costing
Basic Qualifications

Bachelor’s degree in Electrical Engineering, Computer Science, related filed or equivalent experience
10+ years overall experience in hardware design
High level of expertise in embedded microprocessor design and programming (C/C++, etc.)
Experience with Ethernet product design (10/100/1000BASE-T interfaces, PHYs, unmanaged switches.) 10GBASE-T interface experience a plus.
Skilled in the design and use of common I/O protocols (I2C, USB, JTAG, Ethernet).
High level of experience with hands-on troubleshooting and turn-on of new circuitry, including test bench equipment such as multi meters, DAQs, spectrum analyzers, JTAG and ICE probes, software, oscilloscopes, etc.
Experience in qualifying embedded systems to MIL-STD-810 MIL-STD-461 or IEC equivalents
Experience in failure analysis and troubleshooting skills in a production and R&D environment
Experience with prototyping solutions and bench testing methodology
Experience with test automation with background in scripting
Excellent technical writing skills and experience writing hardware, software, and interface requirements along with qualification test plans and procedures.
Able to handle ITAR data. Must be US Person or have Green Card.

Preferred Qualifications

Experience with military systems with battery charging, embedded protocol transceivers, microprocessor and FPGA-based systems
Experience with Ethernet product design and testing (Ethernet switch ICs, fiber-optic media converters, etc.)
Experience with digital video systems testing
HD-SDI, 3G-SDI, HDMI, DVI, DisplayPort
 
Job Number: 368920
Job Location: Glendale, CA.
Per Diem: No
Overtime: possible
Duration: Direct
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer III - (Everett & Seattle)

Description:
Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEWA
Job Location: Seattle, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer IV & V - (OK)

Description:
Several openings in Oklahoma City, OK.

Active Secret Clearance, NOT required to start.

Leads work with customers to develop and document complex electronic and electrical system requirements. Leads and coordinates work to analyze and translate requirements into system architecture, hardware and software designs and interface specifications. Leads work to test and validate to ensure system designs meet operational and functional requirements. Oversees the team that monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches technology advances for potential application to company business needs. Makes recommendations for technology investments.

Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.
 
Job Number: EEOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer IV & V - (OK)

Description:
Leads work with customers to develop and document electronic and electrical system requirements on complex multi-tiered projects. Leads analysis and translation of complex requirements into system architecture, hardware and software designs and interface specifications. Leads testing and validation work on complex system designs to assure that operational and functional requirements are met. Works with other to develop program test strategies. Manages performance of critical suppliers to ensure system integration and compliance with requirements. Conducts program independent reviews and reports results to program leadership. Provides critical support of fielded hardware and software over the entire product lifecycle. Leads research into technology advances for potential application to company business needs. Makes recommendations for technology investments. Recognized as a business unit-wide expert in process development and qualification, physical and functional integration and installation design and resolving supplier technical issues.

Bachelor's degree with 14 or more years' experience, Master's degreee with 12 or more years' experience or PhD with 9 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in electrical engineering.

Qualification:
─ Electrical Power System >8 years (Required)

Experience:
─ Ability to develop work packages and design concept from statement of work and system requirements.
─ One line, three line AC & DC power architecture and power distributions.
─ Power system load flows, AC 1ph/3ph, and DC load analysis.
─ Equipment proper breaker sizing and wire sizing/voltage drop analysis.

Knowledge:
─ Electrical equipment such as integrate drive generator, auxiliary power unit, generator control unit, current transformer, generator breaker/relays, frequency 400Hz/60Hz converter, voltage AC/DC converters, and other protective devices.
─ Mil-Std-704; DO-160 power quality and FAR 25 FAA Generating Power System.
─ Hand-on test equipment is preferred.
─ Capability to provide leadership, guidance to less experienced engineer team members.
 
Job Number: EEok
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Janice Plattner
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Must Be Eligible for a Clearance

Proven and demonstrated experience with integration of electrical / electronic components and associated software/hardware into ground combat vehicles

Experience with network-based vehicle (combat or automotive) architectures

Experience trouble shooting Vetronics systems required

Experience with link-based (e.g., CAN) diagnostic systems required

- Experience with J1939 CAN protocol

- Experience with SIL and vehicle-based Vetronics testing

- Familiarity with TCP/IP and UDP protocol
 
Job Number: 45414
Job Location: MI
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Seeking an Electrical Engineer. The selected individual will support multiple aspects of the project including:
• Design and build of electronics hardware and circuits for power, control, and diagnostic systems associated with experimental plasma physics hardware and
• Design and interface with facility engineering team on the design and build of specialized lab facilities and their interface with experimental plasma physics hardware. Tasking significantly involves high voltage/power systems with stringent emission requirements. Tasking includes circuit/PCB design, electrical power system and distribution design, energy storage system design, electrical wiring and controls design, and support to EMI/shielding analysis and design.

• Will work with other engineers and physicists on daily basis on this tasking in office and lab environment (hands on build and test of hardware is required).
• Will write and conduct component installation, checkout, and troubleshooting procedures.
• Will support laboratory operations and testing.
• Must be able to wear radiation sensor in laboratory environment.

Basic Qualifications:
• Bachelor’s degree OR above in electrical engineering or related field.
• Experience with electrical system hardware (laboratory or other environment).
• Experience in electrical system definition.
• Experience creating electrical schematics.

Desired skills:
• Experience with High Voltage electrical power architectures and system hardware (generation, distribution, storage).
• Experience with facility electrical and grounding design and hardware interface.
• Experience with electrical system shielding and noise reduction.
• Experience with schematic capture and PCB design using Eagle and Altium.
• Experience with PCB fabrication and test.
• Experience with connector selection and cable harness fabrication.
• Experience trouble shooting electrical systems.
• Experience in laboratory environment (high vacuum plasma physics preferred).
• Experience with laboratory diagnostic test equipment, DAQ, and PLC systems.
• Experience with Matlab and Labview software packages.
• Experience with 3D CAD tools - CATIA V5.
• Experience with PSpice and Simulink circuit design and analysis tools.
• Experience with MS Office software tools.
• Good oral and written communication skills.

The Structures Company is an AA/EOE.
 
Job Number: 249
Job Location: CA
Rate: OPEN - ***DOE***
Per Diem: Yes
Duration: 9+ Month
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Systems Design & Analy. Engineers - Many Needed

Description:
Must be degreed & ability to obtain security clearance
Leads work with customers to develop and document complex electronic and electrical system requirements. Leads and coordinates work to analyze and translate requirements into system architecture, hardware and software designs and interface specifications. Leads work to test and validate to ensure system designs meet operational and functional requirements. Oversees the team that monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches technology advances for potential application to company business needs. Makes recommendations for technology investments.
Level 4 & 5

Excellent sign on bonus****

*Email resume to:mmccarthy@pdstech.com
 
Job Number: BO-OK - 33001-33002
Job Location: Oklahoma City, OK
Rate: $74.00-82.00/hour
Per Diem: Possible
Overtime: Possible
Duration: 6 Months+
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Mary Ann McCarthy
Address: 1215 FERN RIDGE PKWY STE 231
City, State: ST LOUIS, MO 63141
Phone: 314/628-9143
800 Phone: 800/472-3737
Fax Phone: 314/628-9485
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electromechanical Technician / no clearance CA

Description:
163555
Electromechanical Technicians (Job Number: 18023262)

Number of Openings: 6

Location(s): United States-California-Redondo Beach


Shift: 1st Shift

Target Start Date: Nov 12, 2018

Target End Date: Nov 1, 2019

Targets: 6**

Work Week: 9/80

Location: Redondo Beach, CA

Pay rate: $27.00 - $32.00

Duration of Assignment: Up to 1 year

Clearance: None


PRIMARY DUTIES AND RESPONSIBILITIES:
Under close supervision, performs routine technical functions related to the support of development engineering activities such as design, test, checkout, fabrication, modification, and assembly of electronics and Electro-mechanical systems, experimental design circuitry, prototype models or specialized test equipment. Applications may include analog, digital and/or video type circuits and logic systems. Guidance is readily available from superiors. Works from schematics, diagrams, written and verbal descriptions, layouts or defined plans to perform routine testing, checkout, troubleshooting, prototype building, environmental testing, and simple developmental engineering tasks. Performs routine operational tests and fault isolation on development systems and equipment to ensure conformance with design specifications. Diagnoses and isolates malfunctions down to component level. Conducts defined engineering tests and collects data as assigned. Utilizes routine development or diagnostic equipment including oscilloscope, VOM, DVM, signal generators and similar test apparatus. Normally assigned in area such as development, engineering, test equipment design, or the like. Excludes those assigned to production test activities. Entry Level. Excludes trainees with no academic preparation or experience.

EXPERIENCE and TRAINING:
Electronics training equivalent to 2 years technical trade school or military technical school.


Basic Qualifications:

High School diploma or equivalent and 2 years additional education and/or related experience.


Preferred Qualifications:

2 years military or applicable experience is preferred
 
Job Number: MB-1695
Job Location: Redondo Beach, CA
Rate: 32/hr max
Per Diem: no
Duration: 1 year
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic Circuit Designer - 4 / OrCAD PSpice PCB LRU CA

Description:
Electronic Circuit Designer - 4 (Job Number: 18018662)

Number of Openings: 1

Location(s): United States-California-San Diego


Shift: 1st Shift

Target Start Date: Oct 8, 2018

Target End Date: Mar 8, 2019

Pay Rate: $62 to $64/hr

Work Schedule: 9/80A

Duration of Assignment: 3-8-19

Job Description

Qualified applicant to support electronics modernization effort. The SOW include performing detail electrical circuit design, circuit level analysis, support qualification testing, preparing ATP and QTP and support electrical circuit and LRU manufacturing.


Basic Qualifications:

9+ years experience with Electrical Engineering Bachelor’s Degree or an equivalency of 17 years plus High School Diploma.

Experience with OrCAD/pspice

•Experience with PCB troubleshooting
•Experience with PCB layout and routing
•Strong verbal and written communications


Preferred Qualifications:


Working knowledge of engineering practices/procedures regarding electronic components

•Experience with Altium Designer
•Experience with NGC circuit design process
•Experience with TeamCenter
 
Job Number: MB-1680
Job Location: San Diego, CA
Rate: 64/hr max
Per Diem: no
Duration: 5 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic Component Engineer (177)

Description:
*This is a full time, 9 month, contract position located in Grand Prairie, TX*



We are seeking an electronics component engineer who will be working on DoD/military component analysis, selection, screening, parts qualification and de-rating of electronic components. Duties will include component material selection, new component release/qualification, handle component related failures, handle component noncompliance issues, able to identify pure-tin and counterfeit part detection.



Required:
*2 years’ experience working as an engineer within the electronics components field.
*Electrical component manufacturing process and physical property analysis experience
*Able to get a security clearance after starting, which requires applicants be US Citizens.
*Engineering degree in material science or equivalent



Pluses:
*Experience in the disposition of Q-Notes.
*Familiar with parts release processes, and formal configuration boards.
 
Job Number: 177
Job Location: Grand Prairie, TX
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronics Packaging Engineer UG/NX NY

Description:
Request number: 5654
Job title: Electronics Packaging Engineer
Number of openings: 1

Position location: East Aurora - NY
Employment type: Contract
OT exempt: No

Shift: 1st
Education Requirement: BS/BA
Driving on company time?: No
Maximum Hourly Pay Rate: 53
Start Date: 10/22/2018
Tentative End Date: 10/21/2019

Electronics Packaging Engineer

Essential job functions:
This is a mechanical design engineering position within an EE design function. It entails the mechanical packaging of electronic circuits and related hardware.

Specific requirements are as follows:
-Experience with the packaging of electronics systems intended to be used in severe thermal and dynamic environments.
-Knowledge of materials and processes relevant to aerospace electronics.
-Experience with contemporary solid modeling tools such as Unigraphics NX.
-Knowledge of design techniques for high voltage systems
-Experience with FEM thermal and structural analysis tools such as ANSYS Workbench.
-Experience with Thermal Desktop and Icepak (nice to have)
-Knowledge of environmental test of aerospace electronics.

Education:
BS Degree preferably in Mechanical, Aeronautical or Electrical Engineering plus six years minimum of design/development engineering experience.

Masters Degree, preferably in Mechanical, Aeronautical or Electrical Engineering plus three years of design/development engineering experience.
 
Job Number: MB-5654
Job Location: East Aurora, NY
Rate: 53/hr
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronics Technician / Must have hand soldering experience. Cable harness fabrication and bench work experience preferred TX

Description:
163437
1219-1 Electronics Technician
Work Location: Fort Worth, TX
Status: Open

Qty: 2
Desired Start Date: 1/29/2019
End Date: 7/29/2019

Job Description:
Consist of engineering hardware fabrication support, troubleshooting, testing and basic Laboratory operations responsibility. Some climbing on ladders may be required as well as lifting up to 25 lbs. These responsibilities will include fabrication of electronic/electrical chassis and mechanical rack fabrication using development engineering drawings. Candidate must be capable of working independently, discussing technical issues with customers and working with vendors. An AA in Electrical or Electronics Engineering is highly preferred. Extensive hand soldering experience mandatory.

**Must have hand soldering experience. Cable harness fabrication and bench work experience preferred.

Region: Fort Worth, TX
Level: Level 2: 3+ YRS
Pay Rate: $33.00 Hourly
 
Job Number: JE-1219-1
Job Location: Fort Worth, TX
Rate: 33/hr max
Per Diem: no
Duration: 6 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrophysics Engineer IV - Electromagnetic Compatibility - (OK)

Description:
Several openings in Oklahoma City, OK.

Active Secret Clearance, NOT required to start.

Develops and validates requirements for various complex communication, sensor, electronic warfare and other electromagnetic systems and components. Develops and validates electromagnetic requirements for electrical\electronic systems, mechanical systems, interconnects and structures. Develops architectures to integrate complex systems and components into higher level systems and platforms. Performs complicated trade studies, modeling, simulation and other forms of analysis to predict component, interconnects and system performance and to optimize design around established requirements. Defines and conducts critical tests of various kinds to validate performance of designs to requirements. Manages appropriate aspects of critical supplier and partner performance to ensure compliance to requirements. Provides support to products throughout their lifecycle from manufacturing to customer use by providing guidance and support to resolve complex issues. Supports project management by providing coordinating development of work statement, budget, schedule and other required inputs and conducting appropriate reviews. Generates major sections of proposals to support development of new business.

Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: ElectroPhysicsOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrophysics Engr/Scien 1

Description:
Electrophysics Engr/Scien 1
 
Location: Huntsville, AL
Duration: 6 months
 
Description:
Assists in developing and documenting requirements for various communication, sensor, electronic warfare and other electromagnetic systems and components. Performs basic analysis to predict component performance to established requirements. Conducts simple tests to validate performance of designs to requirements. Collects data on supplier and partner performance to ensure compliance to requirements. Provides support to products throughout their lifecycle. Supports project management by gathering project status data. Gathers information to support development of sections of proposals to support development of new business. Works under close supervision.
 
Preferred skills for the Lab Tech:
- Knowledge of Electronics
- Knowledge of PCB Manufacturing
- Knowledge of Soldering
- Knowledge of Additive Manufacturing
- Experience with CAD/CAM
- Knowledge of Electronics Packaging
 
Optional Skills:
- Knowledge of conductive inks
- Knowledge of polymer processes
 
Education / Experience:
Required: Bachelor's degree in an engineering classification from an accredited course of study, in engineering, computer science, mathematics, physics, or chemistry.
 
Skill Code:
64Z-Radio Frequency Microwave.

Please share updated resume at ravi@iconma.com if interested.
 
Job Number: 18-16333
Job Location: Huntsville,, AL
Per Diem: Available
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Ravi Kumar
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/234-6123
800 Phone: 866/914-9724
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineer II - FPGA Verification

Description:
Engineer II – FPGA Verification
Location: Westminster, CO
Duration: 6 months contract to hire
Openings for Level II and Principal Engineer
Description:

•Verify designs (including SOC architectures utilizing soft-core processors, digital filters, image processing algorithms, and communication interfaces/protocols), design and implement test benches and test plans for both chip-level and system level environments and create reusable verification environments that can be used across multiple projects.

•Work in System Verilog/UVM environment platform and be responsible for generating FPGA verification plan, verification matrix and coming up with verification environments for test and verification of flight FPGA code/modules.

•Work collaboratively and in tandem with FPGA design engineers and embedded software engineers.

•Span the gap between FPGA system verification and embedded software development.

•Establish and maintain effective working relationships within the department, the Strategic Business Units, Strategic Support Units and the Company. Interact appropriately with others in order to maintain a positive and productive work environment.
Requirements:

•BS degree or higher in Engineering or a related technical field is required plus 5 or more years of related experience.

•Ability to own entire test bench, create environment from scratch, and set up whole simulation environment.

•Proficiency with Modelism/Questa tools sets

•Each higher-level degree, i.e., Master’s Degree or Ph.D., may substitute for two years of experience. Related technical experience may be considered in lieu of education. Degree must be from a university, college, or school which is accredited by an agency recognized by the US Secretary of Education, US Department of Education.

•Strong Verification development methodology and test-benching for FPGAs.

•Strong software engineering skills, experience with System Verilog and OVM/UVM, C/C++, object-oriented design, and familiarity with electronic circuit design and electronic systems.

•A solid understanding of object-oriented concepts and experience designing class-based constrained random testbenches.

•Experience with Xilinx Vivado is a plus.

•Knowledge and experience with Windows, Unix and scripting languages (e.g. Ruby, Python, TCL) is a plus.

•Experience in documentation and verification of high-speed digital electronics, FPGAs, and embedded processor systems is desired.

•Ability to develop specifications, cost, schedule, and resource requirements for FPGA or ASIC verification plans.

•Military experience and/or ability to get a security clearance highly desired, but not required.
 
Job Location: Westminster, CO
Rate: DOE
Per Diem: Possible Split
Overtime: No
Duration: 6 month contract to hire
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: ALLEGIANCE CONSULTING INC
Attention: Paulina Villagomez
Address: 10822 W TOLLER DR STE 250
City, State: LITTLETON, CO 80127
Phone: 720/947-9208
Website: www.acinow.net

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineering Manager, Systems Software (749)

Description:
**Must be US Citizen to apply for Secret cleared positions**



CSS Staffing is seeking an Engineering Manager, Systems Software, who has proven experience with a strong systems software development and programmatic background who has experience in managing systems, and software development teams – from project estimation to planning, executing, testing, software release management and QA - for development programs and production support activities across a diverse product portfolio in a matrix organization to achieve operational results. This role includes active management of the systems, software engineering functional group as well as management of cross-functional engineering activities on assigned programs.



Required Skills

*BSEE or related degree in software engineering or computer science

*Proven experience leading engineering teams with five (5) years minimum experience as a supervisor/manger.

*Minimum of Five (5) years’ experience with IA technologies and of their interactions to ensure system performance, including:

Mechanical structures, stiffness, inertia, weight trade-offs

System, motion and dynamics, expected pointing accuracy

Electric motors, performance, torque, construction

Position measurement with resolvers, Inductosyn, measuring tools

System performance calculation, simulation –consumption, vibration, temperature…-

Electronics hardware, noise, emissions

Control loops, reaction speed, performance assessment

Software for control, diagnostic, graphical user interface (Linux/RTOS/Python)

Positioner testing, tuning, qualification, field installation

EMI design, test, remediation

MIL/Rugged environment design, qualification test

*Experience with engineering and quality requirements for defense applications

*Ability to obtain and maintain a secret security clearance



Desired Skills

*Masters’ Degree in Engineering or Management

*Twelve (12) years minimum experience in new product development and engineering-to-production transitions

*8 years’ experience developing hardware requirements to meet higher-level system goals

*8 years’ experience with simulation software such as ModelSIM

*8 years’ experience with Altium Designer for schematic entry

*8 years’ experience with Altera’s Quartus Prime IDE

*8 years’ experience generating product cost estimates and writing proposals

*8 years’ experience with code development processes or of LCM and/or Stage-Gate methodology

*Active secret security clearance highly desired
 
Job Number: 749
Job Location: Exeter, NH
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Shay Rosen
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineering Mechanical Design 3 / Catia V5 CA

Description:
163185
Engineering Mechanical Design 3 (Job Number: 18017716)

Number of Openings: 1

Location(s): United States-California-San Diego


Shift: 1st Shift

Target Start Date: Aug 30, 2018

Target End Date: Jan 25, 2019

Pay Rate: $53/hr

Work Schedule: 9/80



We are looking for a Mechanical Design Engineer for the Global Hawk program for the Equipment Installations Design Team.

This design engineering position will be responsible for the development, drafting, release and support to installation of detail aircraft components, support assemblies and equipment installation package BTPs/Drawings.

This will include both traditional 2D drawings using CATIA V5 drafting in accordance with NGC Design standard practices, fit/tolerance analysis/evaluation, design for manufacturability and coordination with other engineering disciplines of fully compliant hardware.


This designer will also develop and produce equipment installation requirement packages using 3D Model Based Definition and Functional tolerance Analysis in accordance with design standards for both secondary structure and electronic and payload equipment into the USAF Global Hawk UAS.

Basic Qualifications:
•B.S. Degree in Mechanical Engineering, Aerospace Engineering plus 5 years of experience.
•1 years experience with CATIA V5 for mechanical design
•5 years of aircraft mechanical design experience



Preferred Qualifications:
•2 years experience with aircraft equipment installations, secondary structure design and equipment packaging design
•Experience with ANSI Y14.5 GD&T for producible design.
•Experience with designing aircraft components for retrofit modification.
•Experience with military aircraft safe wire installations in accordance with SAE AS 50881
•Experience with design for human factors in accordance with MIL-STD-1472 or similar
•Experience with TeamCenter 2007, TeamCenter Unified/ CPLM
 
Job Number: MB-1684ATO
Job Location: San Diego, CA
Rate: 53/hr
Per Diem: no
Duration: 5 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Equipment & Tool Engineer II - (Sylmar, CA)

Description:
- Design solar panels, solar panel components, and associated support tooling in AutoCAD / Inventor Pro Suite. Also
design and update searchlight and high intensity illumination products for solar cell and solar panel test in AutoCAD /
Inventor Pro Suite.
- Work closely with Panel Product Engineers to translate customer schematics and specifications into manufacturing
efficient panel layouts and detailed manufacturing drawings, inclusive of Bill of Materials (BOMs), detail views, wiring
lists, schematics, and notes. Participate in round-table drawing reviews and CAD-check of drawings generated by others.
Generate soft tooling files from AutoCAD model. Learn to generate and check photomask drawings.
- Work with Illuminations Systems Product Engineers to generate and update part and assembly documentation needed
for procurement, manufacturing and assembly, including generation of 3D models, verification of model tolerances, and
model management in VAULT.
Work with Product and Process Engineers to identify and define the manufacturing support tooling necessary to safely
build and test the hardware in conformance with customer requirements. Generate tooling procurement and assembly
drawings and models using AutoCAD/Inventor Pro Suite.
- Support proposal and design trade efforts by providing rapid assessment of potential layout options. Assess
customer ICDs for manufacturability and provide feedback/improvement suggestions to enhance compliance and/or
manufacturability. Participate in LEAN improvement initiatives from both manufacturing and engineering perspective.
Continually advance ideas for streamlining both design/documentation and manufacturing processes by applying DFMAT
principles to all generated and reviewed deliverable hardware and manufacturing/support tool designs.
- Apply GD&T principles to assure manufacturability for internally built assemblies and to help resolve procurement
issues.
- Use Finite Element Analysis to support new design development and Root Cause investigations. Use Inventor CFD tool
to assess thermal and mechanical stress on deliverable hardware and to assess factors of safety for assembly/ground
support tooling.
- Assist Product Engineering in preparation of program SDRL documentation, including parts and material lists, mass
analysis, magnetic moment analysis, and detailed design descriptions for internal and customer review packages. Learn
to perform radiation and power analysis and to generate handling procedures and hardware test reports to backfill as
needed during periods of high volume activity.
Qualifications - Internal
Basic Qualifications
- Proficiency in 2D and 3D CAD modeling using AutoCAD/Inventor Pro Suite 11 (or higher), including:
- Use of block part libraries
- Generation of multilayer drawing packages, detailed assembly views, and schematics
- Familiarity with AutoDesk Vault
- Demonstrated working knowledge of basic GD&T principles
- Working knowledge of Finite Element Analysis techniques, preferably using Inventor Pro Suite Analysis package
(including definition of model, mesh, and boundary conditions and interpretation/presentation of the results)
- Ability to read/generate electrical schematics
- Experience with PCBs or other electronics packaging and wiring design
- Ability to communicate effectively with a diverse group of both internal and external customers and colleague
Preferred Knowledge / Skills
- Familiarity with VBA, SQL, or Labview
- Familiarity with basic physics of solar cells, photolithographic processes, Aerospace materials and assembly techniques,
PCBs and electronics, FEA and FMECA analysis techniques
- Ability to compile and present data using Excel and PowerPoint, and to generate/update procedural documentation using
MS Word
Typical Education / Experience
Degree and typical experience in engineering classification: Bachelor's and 2 or more years' experience or a Master's
degree with experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in
engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required,
accreditation standard.
 
Job Number: EquipToolECA
Job Location: Sylmar, CA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 12+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
FPGA / DSP Design Engineer w/ ASIC (584)

Description:
*These are full time, 1 year contract positions, located in Orlando*


We seek a hands-on ASIC FPGA/DSP Design Engineer to design electronic circuits. Will be responsible for component selection, evaluation, test box design, prototype creation and checkout along with digital and analog analysis. Engineer will design and develop evaluation boards and test methodologies/interface hardware required to evaluate the design through systems integration, test and final device design and customer approval.



Required:
*6+ years’ experience for level 3, conducting firmware digital design engineering using FPGA/DSP or 11 years experience for Level 4 job.
*Familiar with video system design, synchronization, image processing operations and display formats.
*Active Secret Clearance

*On site work only, no remote work possible for this position.
*BSEE



Pluses:
*Verilog, C/C++, MathLab/Simulink, System Verilog languages; Synopsis Synplify, Synopsis VCS, NCSim, ChipScope tool sets.
*Familiar with Xilinx, Altera Part family internal FPGA fabric and IP and model based compilers

*Aerospace design experience
 
Job Number: 584
Job Location: Orlando, FL
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Firmware Design Engineer- FPGA (381)

Description:
*This is a full time, 1 year contract position, located in Orlando*

*Must be able to gain a Secret Clearance in order to apply*



We seek a hands-on FPGA/ASIC Design Engineer to design electronic circuits. Will be responsible for designing the digital communication and BIT (build in test) for an electro-explosive controller (EED) circuit card assembly which uses a FPGA and the associated development tools.

Day to day duties will include conducting trade studies, development and release of design requirement specification for the EED circuit card assembly, parts selection and generation of parts list, schematic capture of design, circuit simulation using Synopsys tools, support EPCAD PWB development and piece part layout, coordinate design with MFC SME and present design through design assurance reviews. Engineer will design and develop evaluation boards and test interface hardware required to evaluate the design through systems integration and test.

Required:
*6+ years’ firmware digital design engineering using FPGA/ASIC for level 3 job or 11 years experience for Level 4 job.
*Experience working with DSP

*Familiar with video system design, synchronization, image processing operations and display formats.
*Must be able to get a Secret Clearance, which requires US Citizenship in order to apply.
*On site work only, no remote work possible for this position.
*BSEE



Pluses:
*Experience with Verilog, C/C++, MathLab/Simulink, System Verilog languages; Synopsis Synplify, Synopsis VCS, NCSim, ChipScope tool sets
*Experience with Xilinx and Altera Part family internal FPGA fabric and IP and model based compilers desired.
*Aerospace design experience
 
Job Number: 381
Job Location: Orlando, FL
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
INDUSTRIAL QUALITY ENGINEER & TEST SUPERVISOR

Description:
JSG is looking for a Quality Engineer & Test Supervisor for a direct hire opportunity in Los Angeles, CA!

SUMMARY:

The Industrial Quality Engineer & Test Supervisor is responsible for verifying that Resistance Welding and other equipment designed and assembled by Company meets the requirements defined in our drawings, procedures and checklists. Supervision of the technicians completing the calibration and final test of this equipment is a key responsibility, as well as a key source of data for determining the compliance and reliability of that equipment. This engineer will work closely with the production managers and operators to determine the root cause and resolution of quality issues encountered in our plant. They will also work with the production planning and customer service groups to assure that equipment will be calibrated and tested in time to support the commitments given to our customers.


RESPONSIBILITIES:
The Industrial Quality Engineer and Test Supervisor will be responsible for:

Collecting and analyzing data on non-conforming products, determining root cause of non-conformances, and working with employees in the manufacturing, engineering and purchasing groups to resolve the root causes identified.
Working with the engineering group to maintain and improve the calibration and final inspection procedures and checklists for our products.
Determining the test timing required to meet product shipment commitments and working with planning to assure that equipment is assembled and delivered to the test group to allow sufficient test times prior to an on-time shipment.
Supervising the technicians doing the calibration and final test.
Collaborating with colleagues in the QSS group to share resources as required to accomplish the broader mission of the group.
Training new and veteran employees as required.
Working with the R&D and engineering groups to implement more automated testing and calibration
Providing technical information as required to the test technicians.
Troubleshooting and providing technical analysis of defects in company products
Preparing and presenting reports and information as required including quality metrics and reliability statistics.
Other projects and tasks as requested by supervisor and management

QUALIFICATIONS:
Must have at least 10 years of experience as a Quality Engineer in the Electro-mechanical equipment manufacturing industry. Experience supervising people is required. Strong knowledge of electronics and PCs is required. Experience with lasers is a plus. Demonstrated success in teams developing advanced products with electronic, mechanical and software components is a strong plus.
 
Job Number: 367273
Job Location: LOS ANGELES, CA
Per Diem: No
Overtime: Possible
Duration: DIRECT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
INDUSTRIAL SYSTEMS PROJECT ENGINEERING MANAGER-MACHINE SYSTEMS

Description:
JSG is hiring a Industrial Systems Project Engineering Manager for our client in Los Angeles, CA!

This is not an IT position.
Local candidates only.

Responsibilities

Supervise the project managers, project engineers, and proposal manager in the Industrial Systems organization.
Work with his or her peer, the Industrial Systems Engineering Services Manager, to complete semi-custom and custom automated systems for welding, laser marking, laser processing, and precision laser cutting applications.
The Industrial Systems Project Engineering Department is responsible for successful project completion and will be provided support by the Engineers in the Industrial Systems Engineering Services Department Coordinate department’s activities with the Systems Engineering Services
Manager and Manufacturing Manager as well as coordinate activities with the Company support departments including Purchasing, Product Planning, Customer Service, Finance and Sustaining Engineering
Coach staff toward modern professional performance in the areas of:
Technically robust and advanced equipment
Creative and robust automation solutions
Engineering procedures and disciplines in a commercial environment
Presentation and communication with customers and other departments
Achieve project performance goals on equipment projects, setting and managing customer and Company expectations.
Deliver quality products on time.
Manage development projects that provide new and innovative industrial systems features and capabilities
Oversee and support the quotation activities for systems
Proposal writing and cost estimating
Pre-sale activities include conceptual design, schedule, and cost estimation of semi-automated industrial laser processing workstations.
Conceptual design with assistance from others includes: cycle time estimate, mechanical layout of workstation, tooling concept, block diagram of electronic controls, and flowchart of overall man-machine interaction and machine functions.
Cost estimation includes estimation of NRE, RE, assembly, testing and materials.
Provide administrative management of systems project engineering department, including staffing, performance appraisals, career development,
reporting, and participation in company-wide management and process improvement initiatives
Partner with vendors and other third party concerns to augment systems and execution capacity to match our order demand and to provide added industrial systems features and capabilities

Requirements

Eight years experience in a technical management capacity at an industrial firm.
BS degree in Mechanical or Electrical Engineering
Experience with motion control equipment, industrial machines and automation
Excellent problem solving skills
Good verbal and written communication
Track record of project definition and project completion
Excellent time management skills and follow-though with ability to accomplish day-to-day tasks while continuing to make progress, and bring to completion,longer term projects.
Good verbal and written communication
US Citizen due to customer visit and specification requirements
Ability to occasionally travel domestically and internationally
 
Job Number: 367484
Job Location: LOS ANGELES, CA
Per Diem: No
Overtime: Possible
Duration: DIRECT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda J Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Inspector 2 (Product Quality) FL

Description:
Inspector 2 (Product Quality) (Job Number: 18023412)

Number of Openings: 1

Location(s): United States-Florida-Saint Augustine


Shift: Multiple

Target Start Date: Dec 17, 2018

Target End Date: Dec 17, 2019

Pay rate: $21 - $25

Work schedule: 4/10

Duration of assignment: Up to 1 year

Clearance: None

Uses predetermined methods, operations, setups and prescribed specifications to visually inspect in-process and completed products such as electronic units and subsystems, precision electromechanical assemblies or mechanical units, subassemblies, structural flaws, internal defects, and missing welds. Uses various measuring devices. Accepts or rejects new or reworked product and/or material, inspecting for defective or malfunctioning units or systems. Works from blueprints, diagrams, dial indicators, micrometers, scales, fixtures, customer specifications, drawing or inspection instructions and checklists. May monitor and verify quality in accordance with statistical process or other control procedures.


Basic Qualifications:

High school diploma/GED.

Military training in aircraft structural or mechanical repair a plus. Minimum four (4) years’ experience in aircraft structural or mechanical

inspections or advanced repair. Knowledgeable and experienced with Inspection techniques and Inspection tools


Preferred Qualifications:

Knowledgeable and familiar with St. Augustine Aircraft Integrated Center of Excellence Manufacturing Center Product Quality processes and procedures. Experienced in documenting and processing product non-conforming material tags, understanding the preliminary review process, and utilizing the Manufacturing Execution System documentation tool. Proficient in navigating and referencing procedural guidance in the Command Media

library, technical data contained within Quest, Northrop Grumman Engineering specifications, MEPS, and NAVAIR

Maintenance Manuals as required. Able to work overtime and temporary assignments to other shifts upon request.
 
Job Number: MB-1700
Job Location: St. Augustine, FL
Rate: 25/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing or Process Engineer (169)

Description:
*This is a full time, 1 year, contract position based in Lexington, MA*



We are hiring for a shop floor manufacturing engineer who has some background in electronics or mechanical assembly.



Candidate will directly work with floor technicians and operators to resolve line issues and develop and improve process instructions, route cards, tooling, methods, and processes necessary to meet our production quality, delivery, and cost objectives.



Typical daily duties may include working with assemblers, testers, and inspectors as well as with design engineering to solve a variety of simple to complex manufacturing problems. Will work on capacity planning, production floor layouts, help with capital equipment requirements forecasting, develop ROI analysis, continuous improvement edicts, root cause analysis, value steam mapping, performance metrics, etc.



Required:
*5 years’ experience working as a manufacturing or process engineer in aerospace or defense manufacturing.
*Experience utilizing Oracle, PDM, TipQA, Shop-floor and similar floor operating systems.
*Understanding of LEAN Manufacturing principals
*Familiar with 7-S and Continuous Improvement (AS9100 or similar)
*Strong electrical test knowledge
*4 year engineering or equivalent degree (BSME or BSEE preferred)
*Able to get a Secret Clearance upon starting, must be a US Citizen (we help with this process)



Preferred:
*Active Secret Clearance
*Bonding experience
*High speed signals, fiber optics, high voltages and energetic device knowledge
 
Job Number: 169
Job Location: Lexington, MA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Engineer Directorate MA

Description:
163071
Mechanical Engineer Directorate

Work Site Address: Tewksbury MA
Job Number: 8577504

Start Date: ASAP
End Date: 12 Months
Position Type: Contract


We are looking for a Mechanical Engineering Directorate Project Lead in the Platform Integration Department for the Integrated Air and Missile Defense Interconnection Section.

As the Project Lead you will need to lead diverse teams of 5-15 engineers by providing highly skilled Interconnection design services across Integrated Air Missile Defense program phases focused mainly on wiring associated with Electronic Subassemblies, Power Systems, Communications Systems and Facilities.

You will Perform project management activities and provides project leadership for interconnection design teams to include technical and financial oversight of programs, proposal development, and resource allocation.

You will Serve as the day-to-day liaison to the programs concerning design team performance and execution.

Responsibilities also include coordinating program staffing needs and Teaming with the Section Manager in the execution of MED and IDS Engineering goals.

Qualifications and Education Requirements
•8+ years of related experience in wiring design, preferably in design of complex cable and harness assemblies used in applications requiring high reliability and extended life or similar related experience.
•Prior engineering project/program leadership experience

•Excellent written and verbal skills
•Masters of Science in an Engineering discipline
•Familiarity with Engineering Labor Forecasting (ELF)
•Proven multitasking skills
•Demonstrated excellence in past work performance
•Experience with proposal capture process (development of compliant basis of estimates (BOE)
•Experience with cables in military applications and environments
•Familiarity with the Estimate at Complete (EAC) Process
•EVMS Certified
•Demonstrated team player, with excellent collaboration and mentoring skills
•Advocate for process improvement, team builder and able to build strong relationships and effectively partner with internal resources.

Required Education:
•Bachelor's degree in Engineering, Computer Science, Math, Applied Physics or equivalent discipline
 
Job Number: MB-R8577504ATO
Job Location: Tewksbury, MA
Rate: open
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
NC Engineer

Description:
NC Engineer under minimal supervision, performs activities related to complex numerical control (NC) programming, including machining methods, tooling concepts, and programs for NC milling machines and lathes. Strong 5-axis programming background in CATIA V5. Master Cam knowledge preferred. Vericut Knowledge preferred.


NC Engineer conducts a thorough analysis of engineering drawings, tool design drawings, and/or electronic models, to determine the optimal machining methods, selection of machine tool, and material cut size. 2. Interact with planners, tool designers, engineering, and customers as required, to finalize plan. 3. Develop cutter motion logic per operation sequence, selecting proper spindle speeds and feed rates. 4. Perform a thorough check on all aspects of the program and verify cutter paths through the utilization of Vericut. 5. Develop operator set-up sheets for numerical control machines. 6. Develop flat pattern mylars and templates as required. 7. Produce 2-D Catia tool drawings as required. 8. May act as Team Leader as required, assigning work tasks, maintaining work load schedules, and setting priorities to ensure timely completion of department goals and corporate objectives.

NC Engineer assist in training and guiding lower level engineers as needed. 2. Assist in formulating Numerical Control policies, procedures, and specifications as required. Perform other duties as assigned. Excellent verbal and written communication skills required. 2. Strong knowledge of various machining and drafting methods, technical writing, and computer use. 3. Ability to read and interpret complex blueprints and engineering drawings. 4. Must be highly proficient with Catia modeling, drafting, and Catia NC Mill function. 5. Must have demonstrated expertise and a proven track record in support of company policies and rules, and consistently meeting objectives.
Education and Experience Requirements:
Bachelor's Degree in Mechanical Engineering or a related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Four (4) years machining, planning, tool design, or related manufacturing experience to include 2 years of experience as numerical control engineer.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
NC Engineer III

Description:
NC Engineer III
Savannah, GA
Rate: $47.60-$60.00


Unique Skills:

Strong 5-axis programming background in CATIA V5. Master Cam knowledge preferred. Vericut Knowledge preferred.


Education and Experience Requirements:

Bachelor's Degree in Mechanical Engineering or a related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Four (4) years machining, planning, tool design, or related manufacturing experience to include 2 years of experience as numerical control engineer.


Position Purpose:
Under minimal supervision, perform activities related to complex numerical control (NC) programming, including machining methods, tooling concepts, and programs for NC milling machines and lathes.

Principle Duties and Responsibilities:

Essential Functions: 1. Conduct a thorough analysis of engineering drawings, tool design drawings, and/or electronic models, to determine the optimal machining methods, selection of machine tool, and material cut size. 2. Interact with planners, tool designers, engineering, and customers as required, to finalize plan. 3. Develop cutter motion logic per operation sequence, selecting proper spindle speeds and feed rates. 4. Perform a thorough check on all aspects of the program and verify cutter paths through the utilization of Vericut. 5. Develop operator set-up sheets for numerical control machines. 6. Develop flat pattern mylars and templates as required. 7. Produce 2-D Catia tool drawings as required. 8. May act as Team Leader as required, assigning work tasks, maintaining work load schedules, and setting priorities to ensure timely completion of department goals and corporate objectives.

Additional Functions: 1. Assist in training and guiding lower level engineers as needed. 2. Assist in formulating Numerical Control policies, procedures, and specifications as required. Perform other duties as assigned.

Other Requirements: 1. Excellent verbal and written communication skills required. 2. Strong knowledge of various machining and drafting methods, technical writing, and computer use. 3. Ability to read and interpret complex blueprints and engineering drawings. 4. Must be highly proficient with Catia modeling, drafting, and Catia NC Mill function. 5. Must have demonstrated expertise and a proven track record in support of company policies and rules, and consistently meeting objectives.
 
Job Number: 9999
Job Location: Savannah, GA
Rate: $47.62-$60.00
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Cathy O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
NC Programmer III

Description:
Position Purpose:
Under minimal supervision, perform activities related to complex numerical control (NC) programming, including machining methods, tooling concepts, and programs for NC milling machines and lathes.

Education and Experience Requirements:
Bachelor's Degree in Mechanical Engineering or a related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Four (4) years machining, planning, tool design, or related manufacturing experience to include 2 years of experience as numerical control engineer.

Principle Duties and Responsibilities:
• Conduct a thorough analysis of engineering drawings, tool design drawings, and/or electronic models, to determine the optimal machining methods, selection of machine tool, and material cut size.
• Interact with planners, tool designers, engineering, and customers as required, to finalize plan.
• Develop cutter motion logic per operation sequence, selecting proper spindle speeds and feed rates.
• Perform a thorough check on all aspects of the program and verify cutter paths through the utilization of Vericut.
• Develop operator set-up sheets for numerical control machines.
• Develop flat pattern mylars and templates as required.
• Produce 2-D Catia tool drawings as required.
• May act as Team Leader as required, assigning work tasks, maintaining work load schedules, and setting priorities to ensure timely completion of department goals and corporate objectives.
• Assist in training and guiding lower level engineers as needed.
• Assist in formulating Numerical Control policies, procedures, and specifications as required.
• Perform other duties as assigned.

Other Requirements:
• Strong knowledge of various machining and drafting methods, technical writing, and computer use.
• Ability to read and interpret complex blueprints and engineering drawings.
• Must be highly proficient with Catia modeling, drafting, and Catia NC Mill function.
• Must have demonstrated expertise and a proven track record in support of company policies and rules, and consistently meeting objectives.
 
Job Number: 24-350
Job Location: Tulsa, OK
Rate: $62.50/hr
Per Diem: YES
Overtime: YES
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Brandon Ferguson
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Operations Technician

Description:
The ideal candidate for this position will perform the following tasks:
* Perform Final Visual Inspection and record keeping. Final Visual review for data collection, recording of 1st Pass Yield data, and maintaining the electronic technician product failure database.
* Services and troubleshoots the select products within assigned product team.
* Solves non-routine problems independently, seeking supervisory assistance when needed.
* Demonstrates ability to handle more advanced assignments.
* Subassembly and final unit testing IAW applicable test specifications.
* Records product movement on the Visual Queue charts as appropriate, maintaining 5S initiatives, Continuous Improvement and Lean Mfg flow initiatives as determined by the department supervisor and management team.
* Maintains 5S initiatives, Continuous Improvement and Lean Mfg flow initiatives as determined by the department supervisor and management team.
* Environmental testing and monitoring of product.
* Perform manual functional test and failure diagnosis to component level.
* Perform assembly and/or repair as needed on through hole, SMT, and hybrid assemblies. Requires the ability to read and interpret assembly blueprints, schematics, inspection criteria documents, etc. in performance of this duty.
* Performs other duties as assigned by the Operations Supervisor to ensure the needs of the team are met; areas may include but not limited to safety, morale, cost control, delivery schedules, problem resolution, and material shortages.
* Certify and retain all appropriate skills training certifications for related product acceptance stamp and/or job duties per SOPs 1811Q and 1211Q and as required by the Director of Quality.
* Responsible for maintaining reasonable and acceptable attendance.
* Responsible for performing job duties in a manner consistent with established L3 Policies & Procedures, Ethics Standards, and Sarbanes-Oxley regulations.
* Responsible for working a manner consistent with established safety rules and regulations and the use and maintenance of required personal protective equipment; including, but not limited to safety glasses, 50 volt exposure protection and area access control when 50 volt exposure is present, etc.

Mandatory Qualifications and Experience:
* Electronics Technician Certificate, BSEET or College Associates degree in an Electronics field or the equivalent formal training normally acquired through certified electronics coursework or military provided training, or a combination of education and relevant experience. Course work should include electrical theory, analog power and wave shaping circuitry, digital signal steering and microprocessor control circuitry.
* Troubleshooting to circuit card COMPONENT level is REQUIRED
* Three to five in a technical environment performing assembly/inspection (IAW IPC-A-610B Class 2 and/or Class 3) and test activities.
* Product tests require the knowledge and application skills to test products IAW applicable test specifications; operate and disseminate data associated with Acceptance Test Equipment, environmental equipment such as Temperature Chambers, Rate Calibration Tables, and ESS Thermal Shock Chambers, etc. Thorough knowledge of electrical theory, analog and digital circuitry, will be required to deploy the diagnostic skills necessary to test and troubleshoot circuit card assemblies to a component level.
* Must be able to earn and maintain the Final Test Stamp (FT) to the requirements determined by the director of Quality. This primary quality function reports indirectly to the Director of Quality and is subject to such quality audits as detailed in the Quality Manual.
* Must be able to earn and maintain the Final Visual Inspection rating through proficiency demonstration to the requirements determined by the area supervisor. * This function may require the person be available during periods of high production output, such as month end or to meet a customer delivery date, and thus may necessarily be limited in use of earned time off such as vacation to other times in the month.

The ideal candidate for this position will possess the following skills and characteristics:
* Able to read electrical schematics and analyze faulty circuits to identify, isolate, and repair to component level using ATE, Manual Panel or “lash-up” test equipment.
* Ability to setup and operate a variety of sophisticated electronics testing equipment
and follow procedural steps detailed in test specification documents.
* Good oral and accurate written communication skills. Must possess ability to accurately record detailed test data sheets.
* Good team skills, able to work within team setting and maintain a positive attitude,
and display a proactive team oriented approach to managing product flow through assembly and test, including filling in for absent assembly members when necessary to
maintain daily flow.
* Working knowledge of statistical process control as applied to assembly, inspection, and test processes and programs.
* Sufficient English language skills to be able to read and interpret documents such as safety rules, operating and maintenance instructions, and procedures manuals.
* Ability to write routine reports and complete required production paperwork.
* Ability to communicate effectively and convey ideas/information one-on-one and in group settings.

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129041
Job Location: Grand Rapids, MI
Duration: 6 mos
Start Date: 11/05/2018
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Program Planner, Sr - Clearance AZ

Description:
Program Planner, Sr - Clearance (8647709)

Seeking a program management/planning professional to join the Program Planning & Scheduling Department within Missile Systems (RMS). The Sr. Program Planner position will be a member of the Program Management Excellence organization, supporting all RMS programs. The successful candidate will be responsible for the development, maintenance and analysis of the, the Integrated Master Schedule (IMS) and have knowledge of the Integrated Master Plan for mid complexity programs. Partners with the program team to ensure the cost, schedule and technical requirements are fully integrated and executed as planned. Provides weekly and monthly planning metrics on schedule status, baseline maintenance, critical path analysis, and schedule variance analysis and network logic validation. Performs Schedule Risk Analysis utilizing statistical software that provides the program team with the probabilities and risk areas in meeting program commitments. Employs Earned Value Management System processes and is an advocate in the discipline of program planning and scheduling. The candidate will be responsible for ensuring compliance with governmental and customer requirements. Duties will include: creating and maintaining compliant Integrated Master Schedules (IMS) that achieves necessary cost and schedule integration. Will perform the integrated planning and budgeting of tasks, to include analyses and reporting that will provide the programs with the visibility to make management decisions to ensure programs meet or exceed schedule commitments. Must be able to identify and recommend insightful mitigation plans, to ensure the IMS is used as a predictive model and as a valued management tool for the program. The candidate will incorporate contractual and programmatic changes into the IMS in order to maintain realistic current contract schedule baselines. The weekly and monthly business rhythms will require communication (electronically and verbally) of schedule status including but not limited to, results of critical path analysis, schedule variance analysis and the results of ‘what if’ exercises to the Program Manager, the customer and potentially to senior management. To be successful in this role the candidate must be able to develop strong relationships with Program Management, Finance, IPTs, CAMs and customer.

Required skills:
• 4+ years of applicable experience that included Program Planning and Scheduling
• Knowledge in the effective integration of schedule and cost in an EVMS environment
• Familiar with conducting Schedule Risk Analysis
• Demonstrated ability to interact professionally at all levels of management
• Strong communication skills, both written and verbal
• Experience using software designed for creating and maintaining industry related schedules. (MS Project, Primavera, Open Plan, or similar scheduling software)
• Act as a mentor (formal and informal) to team members Desired skills:
• Knowledge and experience in assisting IPTs in planning and scheduling
• Proficiency with planning applications
• Experience with Risk and Opportunity Management
• Six Sigma Specialist or similar certification
• Demonstrated ability to deliver customer focused solutions
• Strong communication skills, both verbal and written
• The ability to thrive in a deadline-oriented environment

Required Education: Bachelor’s Degree in Engineering, Accounting, Finance, Business or related field of study

Hours: 8:00am to 5:00pm
Location: Tucson AZ United States

Additional Job Details: This is not a supervisor role. Candidate must be a hands on worker
• Demonstrated ability to interact professionally at all levels of management
• Knowledge in the effective integration of schedule and cost in an EVMS environment
• Experience using software designed for creating and maintaining industry related schedules. MS Project.
• Knowledge and experience completing program IMP/IMS architecture

Contractor Work Week 9/80
Bachelor Degree Required? Yes
 
Job Number: MB-R8647709S
Job Location: Tucson, AZ
Rate: open
Per Diem: no
Duration: 6 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Project Engineer

Description:
We are looking for a Project Engineer in California! Submit your resume if you have the qualifications!

The ideal candidate for this position will perform the following tasks:
* Maintain requirements database tool (DOORS) and create necessary support infrastructure (e.g., scripts, configuration management, views, import/export)
* Maintain traceability between requirements and verification activities throughout product development lifecycle
* Lead efforts for defect tracking and correction, following good problem solving practices
* Project Management
* Manage day to day technical aspects of the project, including cross-functional decision making, task/schedule management, issue resolution/escalation, and communication.
* Manage cross project resource demand and work with functional managers to resolve gaps

Mandatory Qualifications and Experience:
* Bachelor's degree in related Engineering field from four-year college or university.
* 0-3 years of related technical experience and/or training.
* Demonstrated leadership capabilities.
* Strong background in MS Excel and VBA scripting
* Familiarity with DOORS tool and scripting
* Fundamental understanding of the system engineering “V”. Exposure to Electrical Power Conversion Testing and Test experience highly desired.
* Need experience in Agile environment
* Experience with Project Management tools
* Experience with Engineering Change Requests and Engineering Change orders

Desired Qualifications and Experience:
* DOORS is preferred
* Advanced technical degree preferred.
* Specific experience in electronic power conversion equipment development preferred.

The ideal candidate for this position will possess the following skills and characteristics:
* Outstanding written communication skills (e.g., technical document authoring).
* Ability to read, analyze, and interpret common scientific and technical journals, financial reports, and legal documents.
* Must have ability to respond to common inquiries or complaints from customers, regulatory agencies, or members of the business community and ability to effectively present information to top management.
* Must have ability to apply principles of logical or scientific thinking to a wide range of intellectual and practical problems.
* Must have ability to deal with nonverbal symbolism (formulas, scientific equations, graphs, etc.) and to deal with a variety of abstract and concrete variables.
* Must have the ability to apply advanced mathematical concepts such as exponents, logarithms, quadratic equations, permutations etc. to electrical/electronic design and analysis.
* Must frequently lift and/or move up to 10 pounds and occasionally lift and/or move up to 25 pounds

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129137
Job Location: CA
Duration: 1 year
Start Date: 11/12/2018
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Project Engineer

Description:
Location: Windsor Locks, CT
Rate: $62.00/HR
Duration: 1 year contract
Shift: 1st Shift
Overtime: Possible
Per Diem Split or Relocation: No


****MUST BE A U.S. CITIZEN****
****MUST HAVE A BACHELOR'S DEGREE****

Job Description:
Integrated Product Development (IPD) team lead, responsible for design, development and certification of space life support equipment. Responsibilities include managing a cross functional team through the design process, holding design reviews, managing documentation including customer data items, tracking schedules and budgets.

The Human Exploration & Launch business within ISR & Space Systems provides Environmental Control and Life Support Systems in deep space, low earth orbit, undersea, and on the ground. Our hardware is paramount to survival in harsh environments, and our passion for our work demands excellence in everything we do, from the talent we recruit to the highly-engineered systems we deliver. We value people, integrity, ideas and performance.

Requirements:
- Project Engineer with a background in Aerospace, Mechanical, Electrical or Chemical Engineering with 7 years minimum of experience in Space, Aerospace or Defense.
- Must have experience leading IPD Teams in the creation of new designs for aerospace, space or military products.
- Must have experience with SAP and understanding or processing hardware in concert with SAP.
- The Project Engineer will work per the direction of the Program Engineering Manager or designees to assist in team execution to plans.
- Skills and working knowledge of Project Management Body of Knowledge required. Facilitate and lead IPD Team with members from all functions.
- Planning, execution, coordination and communication of team activities and commitments
- Coordinate and status all IPD Team activities including requirements generation, development, design (including program planned reviews), procurement, fabrication and test.
- Work with IPD to create hardware development plans, coordinate hardware build effort in both the engineering labs and production labs.
- Ensure targeted goals (schedule and cost) is communicated to the team members
- Identify, assess and manage the team’s risks
- Hold/chair/lead weekly team meetings (or at intervals determined by the Program Engineering Manager (PEM) or Cost Account Manager (CAM)) to ensure holistic team effort within authorized scope. Ensure actions are document and driven to closure commitments.
- Perform Estimates to Complete (ETC) updating them progressively to ensure the team meets budgets and avoids scope growth and overruns.
- Meet design and design-to-cost requirements.
- Assist in administering Standard Work for the IPD Team including input of evidence of closure and status for all functions. Individuals are required to be energetic and lead team.
- Project Engineers shall work to Company Standard Work and Procedures.
- Notifying the PEM immediately of any potential scope or ETC growth before the work is executed.
- Assist in defining preliminary parts lists and coordinate decision making on long lead part procurements.
- Assist Control Account Managers with EVMS planning, performance, schedule and tracking.
- Must be comfortable using MS Project scheduling tools and comfortable with discussing performance to budget and creating cost and schedule recovery options and plans.
- Assist in creating program directives that define Earned Value Plans.
- Status plans and recommends corrective action to the Program Engineering Manager and/or Program Manager Work with operations to fabricate, assemble and test development and qualification and flight hardware.
- Coordinate, track and support development and flight hardware build and test.
- Initiate in scope engineering changes working with all functions to implement approved changes.
- This will include marking drawings, copying prints, creating PDF’s to support UTAS electronic EC processes.
- Document activities and programs worked with time spent on various activities.
- This information will be submitted weekly and summed monthly by person with the monthly invoice.
- Some limited program travel may be required.
- Overtime up to 12 hrs/week may be authorized
 
Job Number: 9416
Job Location: Windsor Locks, CT
Rate: $62.00/HR
Per Diem: No
Overtime: Possible
Duration: 1 yr
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Project Engineer 1

Description:
The Project Engineer I is responsible for supporting other project engineers and the technical success of the small projects and tasks within the constraints of the allocated budget and schedule. The Project Engineer I role specifically is technical management and coordination of engineering to achieve compliance with customer technical requirements in the field of AC and DC Power Conversion and protection.

RESPONSIBILITIES:
System Engineering
* Maintain requirements database tool (DOORS) and create necessary support infrastructure (e.g., scripts, configuration management, views, import/export)
* Maintain traceability between requirements and verification activities throughout product development lifecycle
Lead efforts for defect tracking and correction, following good problem solving practices

Project Management
* Manage day to day technical aspects of the project, including cross-functional decision making, task/schedule management, issue resolution/escalation, and communication.
* Manage cross project resource demand and work with functional managers to resolve gaps

REQUIRED EXPERIENCE:
1. Strong background in MS Excel and VBA scripting
2. Familiarity with DOORS tool and scripting
3. Fundamental understanding of the system engineering V. Exposure to Electrical Power Conversion Testing and Test experience highly desired.
4. Outstanding written communication skills (e.g., technical document authoring).
0-3 years of related technical experience and/or training.
Demonstrated leadership capabilities.
Specific experience in electronic power conversion equipment development preferred.
- Need experience in Agile enviornment
- Experience with Project Management tools
- Experience with Engineering Change Requests and Engineering Change orders

EDUCATION:
Accredited Bachelor's degree in related Engineering field from four-year college or university.
 
Job Number: 174748
Job Location: Anaheim, CA
Rate: $30-45/hr
Per Diem: No
Overtime: Not specified
Duration: 12 mo
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Terry Jones
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
RF / MW / Radar Engineer (656)

Description:
*This position is located 35 minute North of Philadelphia*

*Full benefit package and competitive salary are offered*



We are hiring for a full time permanent RF / Systems Engineer whose will be working with Military based Radar Warning/Situational Awareness/Electronic Attack and RF Countermeasure systems in addition to Interferometry/Direction Finding systems and on-/off-board systems. The applicant will need to create designs, perform simulations/generate circuit analysis for all system level requirements as well as RF components that fit into the overall project specifications, schedule, budget, reliability, and manufacturing ability.



Typical duties may include design/develop/simulate/analyze component technologies for transmitters, receivers, and converters applied to radar, communications, and ECM/ECCM sub-systems, generation of RF cascades, decomposing system requirements down to sub-system and component level requirements, generate/review specifications as well as block diagrams, interconnect drawings (ICD) and assembly drawings. Part of the responsibilities will be to conduct work plans, budget/schedule adherence, creation of technical deliverables within Government proposals.



Required:
*3-5 years’ experience as an RF Engineer, with particular experience working with antennas, receivers, transmitters, filters, or other similar hardware; Military or DoD experience a plus
*Experience in modulation techniques; antennas; correlation; or signal processing, particularly DSP.
*4 year engineering degree in a scientific, mathematical or preferably, engineering discipline.
*US Citizens only may apply; as applicants will need to apply and gain a security clearance upon starting.



Pluses:
*Familiar with broadband (including Octave to Decade) antennas/amplifiers; RF/MW/Radar integration and validation
*Experience architecting and designing and testing for radar, communication, or Electronic Warfare systems
*Knowledge of MIL STDs, DSP, radar/active electronically scanned arrays (AESAs), or electromagnetic interference mitigation
*Systems modeling with MATLAB or equivalent tools, DOORS requirements management tools, risk management tools
*Active Secret Clearance
 
Job Number: 656
Job Location: Lansdale, PA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Reliability Engineer (FRACAS) (501)

Description:
*This is a full time, 1 year contract to hire position, located in York, PA*



We are seeking a contract Reliability and Maintainability (RAM) engineer who will be performing high level math and risk analysis of aerospace models/systems designs that ensure maximum availability and sustainment. Candidate will be using functional Failure Modes Effects and Criticality Analysis (FMECA) and FRACAS to reduce or eliminate risk, using failures modes to assess improvements and update analysis by evaluating test results.



Daily use of block diagrams, Test Incident Reports (TIRs) input into the Failure Reporting Analysis and Corrective Action System (FRACAS) database, working with staff to unearth potential failure modes and mitigate, while tracking modifications to assist in assessing program success. Will use Reliability Enhancement Testing (RET) and reliability demonstration testing.



Required:
*2 years’ experience in Reliability, typically RAM or RM&T, analyzing data sets and identify high drivers.
*Experience performing allocations/predictions, FMECA and FRACAS.
*Familiar with reliability software tools (preferably WQS)
*Understanding of mechanical, electrical, electronic and hydraulic engineering.
*4 year degree in Engineering, Math or other Science



Pluses:
*Knowledge of WQS software
*Familiar with Specialty RAM-T disciplines.
*ASQ Certification
 
Job Number: 501
Job Location: York, PA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
STRAIN GAGE APPLICATION TECHNICIAN

Description:
JSG is seeking an Instrumentation Specialist Lead for a 15+ months contract opportunity in West Palm Beach, FL!

Description: Strain Gage application Technician:

The successful candidate shall meet the following requirements:- High School diploma, or equivalent experience/combined education, with additional specialized technical training equivalent to a technical Associate degree and/or demonstrated ability to perform assigned technical/para-engineering tasks and 15 Years or more of experience.- Minimum of 10 years’ experience in the field of strain gage application to support test instrumentation -Experience and ability to accomplish required surface preparation, strain gage measurement, layout, alignment and bonding. Experience with measurement systems, instrumentation wiring, documentation, and Wheatstone Bridge wiring of strain gages to measure Torque, Axial Load, Bending and Shear strain.
Experience troubleshooting and repairing strain gage measurements. - Familiarity with electronic tools such as multi-meters, oscilloscopes, logic analyzers, etc.- Solder/de-solder experience required.
Experience reading wiring diagrams and interpreting schematics and engineering drawing.
Manages building and maintenance of instrumentation systems for Flight Test programs to provide measurement data required by the Engineering Department. Apply instructions prepared by aircraft technicians in the form of travelers, Assist in the preparation, installation, check-out and operation of instrumentation systems, follow planned maintenance / calibration, and standard operating procedures for all instrumentation and control equipment in order to maintain accuracy of data and ensure its validity, and operate DAS (data acquisition system) and other recording equipment.
 
Job Number: 367131
Job Location: WEST PALM BEACH, FL
Per Diem: If qualified
Overtime: Possible
Duration: 15+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Senior Engineer - FPGA and Digital Design

Description:
Location: Westminster, CO or Boulder, CO
Duration: 6 months contract to hire
Openings for Senior and Principal Engineers
Description:

•Work collaboratively and in tandem with FPGA verification engineers.

•Establish and maintain effective working relationships within the department, the Strategic Business Units, Strategic Support Units and the Company. Interact appropriately with others in order to maintain a positive and productive work environment.

Requirements:

•BS degree or higher in Engineering or a related technical field is required plus 5 or more years of related experience.

•Ability to own entirety of designs and understands radiation affects and FPGA.

•Expertise in VHDL.

•Experience with Xilinx Vivado.

•Experience with Spacewire, microsemi, and Vertex 5.

•Strong preference for Aerospace experience because of the need to follow peer structure, documentation, peer reviews etc.

•Each higher-level degree, i.e., Master’s Degree or Ph.D., may substitute for two years of experience. Related technical experience may be considered in lieu of education. Degree must be from a university, college, or school which is accredited by an agency recognized by the US Secretary of Education, US Department of Education.

•Strong Verification development methodology and test-benching for FPGAs.

•Strong software engineering skills, experience with System Verilog and OVM/UVM, C/C++, object-oriented design, and familiarity with electronic circuit design and electronic systems.

•A solid understanding of object-oriented concepts and experience designing class-based constrained random testbenches.

•Knowledge and experience with Windows, Unix and scripting languages (e.g. Ruby, Python, TCL) is a plus.

•Experience in documentation and verification of high-speed digital electronics, FPGAs, and embedded processor systems is desired.

•Ability to develop specifications, cost, schedule, and resource requirements for FPGA or ASIC verification plans.

•Military experience and/or ability to get a security clearance highly desired, but not required.
 
Job Location: Boulder, CO
Rate: DOE
Per Diem: Possible Split
Overtime: No
Duration: 6 month contract to hire
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: ALLEGIANCE CONSULTING INC
Attention: Scott
Address: 10822 W TOLLER DR STE 250
City, State: LITTLETON, CO 80127
Phone: 720/947-9208
Website: www.acinow.net

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Software Engineer

Location: Riverside, CA
Pay Rate: $36/hr
Duration: 1 year contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**
**NO RELOCATION COMPENSATION/NO PER DIEM SPLIT**

Description:
Experienced level Software Engineer Supports multidisciplinary research and collaboration with Milling, Robotics and Laser equipment designers and/or hardware engineers in the design, development, and utilization of electronic data processing systems software.

Additional Job Details:
Basic Qualifications:
-Bachelors degree required
• Fluency in VB Scripting, coding, databases, queries, SQL, or other common programming methods
• Proficient in Microsoft Office: B.I., Access/Excel/Powerpoint, & Python, Java
• Experience in automating adhoc reports from SAP (BEX,WEBI)
• Must be able to work effectively in a team environment
• Must be able to meet time critical deadlines and on rare occasions work weekends
 
Job Number: 10061
Job Location: Riverside, CA
Rate: 36
Per Diem: No
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer - Clearance

Description:
Job Description:

The Test Systems Solutions Center (TSSC) applies a systems approach to provide total test solutions that ensure the quality of Client Missile Systems (RMS) products. The TSSC uses common platforms and processes to design/develop production, depot and engineering test stations.

Opportunities include:
•Domestic and international travel
•Innovative rapid prototyping
•Supporting live-fire testing of our products
•Designing, developing or using commercial software for simulation and test equipment environments
•Designing, developing or integrating methods and equipment to effectively test systems.

RMS – Systems Test Directorate is seeking an Engineer for the TSSC.
The selected candidate will participate on multidiscipline engineering teams performing design, development and integration of RMS test products within TSSC. Typical work involves the development of both engineering and production test equipment, environments and systems. As such, the candidate will contribute to the completion of all stages of test product development, and will be responsible for performing technical, cost and schedule management of their assigned projects. They will be expected to act, either as a part of their team or independently, to uncover and resolve issues associated with the development and implementation of test products.
This position is focused on, but not limited to, the design, development, integration, test, and maintenance of software for TSSC. The selected candidate will develop applications, libraries, and instrument drivers using the software style, development language, and integrated development environment specified by TSSC.

Required Experience:
Requires a Bachelor of Science degree in Science, Technology, Engineering or Mathematics (STEM)
This position requires the eligibility to obtain a security clearance. Except in rare circumstances, only U.S. citizens are eligible for a security clearance.
Professional engineering experience developing and implementing high order programming languages (C#, C++, or C is preferred) is required.

Must have a:
•Professional engineering experience utilizing integrated development environments and debugging tools to troubleshoot software implementation and ensure integrity of the software products (experience with Microsoft Visual Studio is preferred).
•Knowledge of developing requirements for software products.
•Experience using model based design and the Unified Modeling Language (UML) to visualize software architecture and design.
•Familiarity with IBM Rhapsody or Cameo Enterprise Architecture is preferred.

Desired Skills:
•Master of Science degree in Science, Technology, Engineering or Mathematics (STEM)
•ABET is the preferred, although not required, accreditation standard
•Working knowledge of computer architecture and computer hardware optimization techniques.
•Experience with the development and integration of software drivers for the operation of computer hardware interfaces.
•Knowledge in common computer hardware interface and data protocols such as TCP/IP, UDP, RS-422 (experience with Microsoft Windows operating systems is preferred).
•Experience developing automated test systems (familiarity with National Instruments (NI) TestStand is preferred).
•Experience with laboratory test instrumentation, electronics and software (experience with NI VISA, NI Measurement and Automation Explorer, or NI Switch Executive is preferred).
•Experience prioritizing and handling multiple tasks in a production environment, developing solutions under only general direction, and demonstrating solid troubleshooting skills.
•Professional engineering experience working within a team environment.
•Strong written and interpersonal communication skills.
•Knowledge of Software Configuration Management principles, environments and tools.
•Knowledge in computer scripting language such as MATLAB/Shell/Perl/Python.
 
Job Number: 001
Job Location: Tucson, AZ
Rate: Open
Per Diem: No
Overtime: Possible
Duration: 06
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INTELLISWIFT SOFTWARE INC
Attention: Sheik Sikkander
Address: 39600 BALENTINE DR STE 200
City, State: NEWARK, CA 94560
Phone: 510/370-2600
Website: www.intelliswift.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr Technical Illustrator

Description:
Sr. Technical Illustrator prepare, construct, and revise of Orthographic illustrations, Axonometric projections, Wiring and Schematic diagrams for technical aircraft manuals and other related graphical publications utilizing electronic methodology. Must create and manipulate graphics and using MicroStation and CADAM technical illustrating system. Must be functionally literate with 3-dimensional graphics, surfaces, wiring frames, and solids. Experience with EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired


Sr. Technical Illustrator prepares, construct and revise MicroStation data (3-D, and 2-D data). 2. Prepare, construct, and revise orthographic illustrations, axonometric projections, and Wiring/Schematic diagrams on MicroStation. 3. Determine optimum graphic approach; independently prepare master artwork, charts, visual, booklets, and signs, working with all aspects of graphic arts production by utilizing electronic illustrating equipment. 4. Work with engineers, technical writers, customers, vendors, and suppliers to effectively visualize and conceptualize graphic design problems to include technical as well as non-technical graphics, color separations, overlays, and comprehensive type requirements. 5. Ensure illustrations conform to corporate, ATA 100, FAA, and commercial aircraft technical manual specifications and company style requirements. 6. Maintain up-to-date knowledge of artwork techniques, materials, and equipment. 7. Experience working with equipment related to and associated with electronic illustrating tools, including: High-resolution scanners, PCs and related illustration software, printers, general knowledge of electronic publishing systems, related printers and data requirements. Perform other duties as assigned.

 Sr. Technical Illustrator has the ability to read and interpret wiring schematics, blueprints and engineering orders. 2. Background familiarity with CADAM, Catia, and CCD. Mainframe user level operations, networks, and scanners. SmarTeam experience a plus. 3. Knowledge of import/export of data files such as: (.dxf, tiff, .cgm, and iges) and electronic publishing are desirable qualities. 4. Knowledge of 3-D modeling and animation. 5. Working knowledge of Right Hemisphere software. 6. Video, motion or animation graphics experience a plus.

Bachelor's Degree required or equivalent combination of education and experience. 4 years exp in electronic technical illustrating. Ability to operate Micro-Station, Adobe Illustrator and other Adobe illustration software.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Verification Design Engineer / FPGA VHDL NY

Description:
Request number: 5658

Job title: Verification Design Engineer
Number of openings: 1

Position location: East Aurora - NY
Employment type: Contract
OT exempt: No

Shift: 1st
Education Requirement: BS/BA

Driving on company time?: No
Maximum Hourly Pay Rate: 70
Start Date: 10/22/2018
Tentative End Date: 10/21/2019


Function:
As a Senior Design Engineer you’ll need extensive experience in creating successful designs of electro-hydraulic, electro-mechanical, electro-hydrostatic and/or electro-pneumatic servo control systems or similar systems to be successful. Your broad background in digital and analog electronic design, including circuit design and analysis, FPGA and ASIC design and verification, and circuit integration, debug, and control theory is essential. You’ll plan and conduct efforts requiring judgment in the independent evaluation, selection, and substantial adaptation and modification of standard engineering techniques, procedures, and criteria.

In this position you’ll be expected to:
Develop new product requirements through consulting with customers and sales
Validate conceptual problem solutions by performing trade studies and analyses
Be a key contributor to a design proposal team in preparing a design, estimating costs, and assisting in writing the technical volume

Operate effectively as a key element in a team of creative, independent individuals, soliciting and being sensitive to design feedback

Create reliable designs through a comprehensive experimental development test program
Document designs, analyses, and test results with accurate and concise reports
Consult on new product and market development efforts

Maintain currency with electronic technology, industry design requirements (Military, FAA, NASA, etc.), and modern design implementation and analysis tools
Represent in a manner consistent with its technical reputation

Required skills:
At least a BS degree in engineering (preferably MS degree).

At least 10 years of relevant electrical engineer and FPGA and/or ASIC design experience.
Experience with FPGA/ASIC VHDL design.
Experience with other design or verification languages is a plus.
Experience with VHDL based test benches, code coverage metrics, FPGA constraint generation, and timing closure.
Experience with FPGA/ASIC designs for control loops and fix point integer math.
Experience designing with and interfacing FPGAs/ASICs to ADCs, DACs, LVDTs, temperature sensors, and common standard communication busses.
Experience with configuration control and change management tools and processes.
Experience generating formal design documentation and generating and delivering formal presentations to a customer.
Experience with requirements management and deriving lower level reqts from system specifications. Experience with the DOORs a plus.
Experience performing formal verification of the design to customer requirements.
Experience designing for motion control and motor control applications is a plus.
Experience with FPGA/ASIC design lifecycle processes and coding standards
 
Job Number: MB-5658
Job Location: East Aurora, NY
Rate: 70/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Electrical Engineer (779)

Description:
CSS Staffing is seeking an Electrical Engineer with experience in designing and integrating various electrical/electronic components and vehicle architectures related to power generation, power distribution, cabling, and communication equipment. Candidate should have experience using lab test equipment, such as oscilloscopes, power analyzers, CAN bus diagnostics tools, data acquisition and LabVIEW for test and verification, as well, as electrical schematic captures and simulation tools like SPICE.

Required Skills
*5 years of experience designing and integrating electrical/electronic components in vehicles.
*Experience with electrical cabling, power generation, distribution, energy and fire control to the sub-system level.
*Working knowledge of CAN bus and Ethernet.
*Experience with SPICE simulation tools.
*BS/MS in Electrical Engineering or Computer Engineering
 
Job Number: 779
Job Location: San Jose, CA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Shay Rosen
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Staff Electrical Engineer

Description:
PDS Tech, Inc. is seeking an Staff Electrical Engineer, in Phoenix, AZ.

•The Staff Electrical Engineer will work with a global team of engineers in Phoenix and India, on electronic control units for aerospace applications.
•The role will support the entire design life cycle from project planning efforts to entry into service and production transition support.
•Requirements definition using DOORS, detailed design, validation of requirements and verification of implementation.
•Also includes managing Problem reports for hardware that has already been delivered.
•The successful candidate will be a highly motivated self-starter with strong written and oral communication skills and works well in a team environment.
•As a Staff Electrical Engineer, this role will lead and mentor other electrical engineers throughout the entire design life cycle and support project planning efforts and ensure execution to schedule and budget.

Education
•BS degree in Electrical engineering, with 6+ years of experience, a Master's degree preferred.
•US Citizenship.

Basic Qualifications
•Design responsibilities include architecture, development and analysis of: high speed digital systems comprised of microprocessors, microcontrollers, DSPs, FPGAs and high speed communication links.
•Create documentation including hardware design plans, technical requirements, functional specifications, design specifications, qualification test plans and reports.
•Support current production designs including quality improvements, cost reduction, component obsolescence and failure analysis.
•Evaluate and approve electronic components to meet requirements for availability, performance and cost.
•Support project planning efforts and ensure execution to schedule and budget.
•Evaluate failures to determine root cause and corrective actions.
•Interface and support validation, verification and manufacturing engineers and technicians with product and manufacturing testing.
•Apply knowledge of DOE, FMEA, tolerance analysis, simulation and statistical methods to improve robustness and quality of electronic designs.

Preferred Qualifications
•Experience with high reliability controller electronics and power switching circuitry.
•Strong experience in hardware and firmware developed in accordance with the requirements of DO-254 (DAL A).
•Familiarity with software developed in accordance with the requirements of DO-178B or DO-178C Level A.
•Experience working in Cadence Sigrity.
 
Job Number: 1810093238
Job Location: Phoeniz, AZ
Per Diem: Split
Overtime: Yes
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Stephanie Spolzino
Address: 50 FOUNDERS PLAZA STE 304
City, State: EAST HARTFORD, CT 06108
Phone: 860/289-2643
800 Phone: 866/636-4723
Fax Phone: 800/556-5284
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Staff Electrical Engineer

Description:
Staff Electrical Engineer

Location: Phoenix, AZ
Pay Rate: $68/hr
Duration: 12 month W2 contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**
**NO RELOCATION COMPENSATION**

-The Staff Electrical Engineer will work with a global team of engineers in Phoenix and India, on electronic control units for aerospace applications.
-The role will support the entire design life cycle from project planning efforts to entry into service and production transition support.
-Requirements definition using DOORS, detailed design, validation of requirements and verification of implementation. Also includes managing Problem reports for hardware that has already been delivered.
-The successful candidate will be a highly motivated self-starter with strong written and oral communication skills and works well in a team environment. As a Staff Electrical Engineer, this role will lead and mentor other electrical engineers throughout the entire design life cycle and support project planning efforts and ensure execution to schedule and budget.
-BS degree in Electrical engineering, with 6+ years of experience, a Master's degree preferred.

Basic Qualifications
• Design responsibilities include architecture, development and analysis of: high speed digital systems comprised of microprocessors, microcontrollers, DSPs, FPGAs and high speed communication links.
• Create documentation including hardware design plans, technical requirements, functional specifications, design specifications, qualification test plans and reports.
• Support current production designs including quality improvements, cost reduction, component obsolescence and failure analysis.
• Evaluate and approve electronic components to meet requirements for availability, performance and cost.
• Support project planning efforts and ensure execution to schedule and budget.
• Evaluate failures to determine root cause and corrective actions.
• Interface and support validation, verification and manufacturing engineers and technicians with product and manufacturing testing.
• Apply knowledge of DOE, FMEA, tolerance analysis, simulation and statistical methods to improve robustness and quality of electronic designs.
• It is desirable that the candidate has experience with the activities listed below for Highly Complex COTS components operating in the GHz bus frequency range.
a. Digest vendor SOC documentation (data sheets, user manuals, app notes, errata, …) to develop constraints and requirements for H/W implementation
b. Effectively work with the software team to develop configuration register settings
c. Perform shared resource analysis
d. Perform configuration settings and failure analysis e. Knowledge of accommodation/mitigation techniques for undocumented features, vendor micro code, configuration fault anomalies
e. Perform programmable logic requirements definition
f. Knowledge on component selection and trade studies
g. Capable of programming code on development boards for design and initial board functional checkout
h. Perform power sequencing analysis Experience with the full DO-254 aerospace hardware development and certification lifecycle including: electrical architecture design, requirements analysis & development, electrical design, development & integration, and qualification testing (DO-160, MIL-STD-461, MIL-STD-810).
 
Job Number: 9946
Job Location: Phoenix, AZ
Rate: 68
Per Diem: No
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural Design Engineer

Description:
Structures Design Engineer will serve in a design role and be responsible for conceptual design, developing drawings and supporting documents i.e. parts list checklist, EOs. Will support design reviews and PDRs/CDRs. Looking for candidates who want to be part of a core design team as opposed to someone who is only interested in pumping designs.

Successful candidates will have experience creating designs from conception through completion.

7 Engineers needed

Duties and Responsibilities:
• Generates engineering models, drawings, parts list and EO utilizing CATIA V5
• Implement industry best engineering practices (design for manufacturing, design for reliability and design for maintainability)
• Will help to achieve company objectives including cost, weight, project sustaining, on production, or new product development.
• Familiarity with structural analysis methods, load paths, and material properties
• Manages task execution to ensure on-time and on-target completion
• Perform tolerance and deviation studies. Define detail parts dimensional requirements to meet final design intent.
• Utilizes drafting and Geometrical Dimensioning and Tolerancing knowledge to optimize the design for cost and producability
• Working knowledge of composite aircraft construction including primary and secondary structure
• Able to communicate with others in a respectful manner and acknowledge their concerns and suggestions

Education, Work Experience, Certification and/or Licensure:
1. Minimum of 6 years to a maximum of 14 years aviation experience; 3+ years of experience working with integrated aircraft avionic/electrical systems in the areas of design engineering, system analysis, and system test.
2. Bachelors’ degree in Engineering from a four year college or university; preferably in Electrical/Electronic Engineering.
Knowledge, Skills and Abilities:
1. Familiarity of avionics/electrical design requirements of 14CFRPart 23.
2. Proficiency in writing technical documentation and reports involving test data and analysis.
3. Familiarity with avionics/electrical test laboratories and lab equipment.
4. Working knowledge of system qualification requirements including DO-160F/G for environmental, DO-178B for software, and DO-254 for complex electronic hardware.
5. Familiarity with SAE ARP 4754 and ARP4761 desirable.
6. Proficiency in Microsoft Office suite (Word, Excel, Project, PowerPoint is required.
7. Proficiency in requirements management tools such as DOORS, PLM tools such as TeamCenter and SAP is preferred.
8. Ability to manage and complete complex work assignments.
9. Ability to work independently and within a multi-functional team environment.
 
Job Number: 28-028
Job Location: Greensboro, NC
Rate: Up to $60
Per Diem: Yes
Overtime: Yes
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Supplier Quality Engineer (760)

Description:
CSS Staffing is seeking an experienced Supplier Quality Engineer to ensure our suppliers produce products which meet all Systems requirements. The successful candidate will investigate, evaluate, and resolve supplier quality problems by conducting audits, analyzing defect trends, and leading continuous improvement projects. The Supplier Quality Engineer will conduct capability assessments that verify supplier qualifications, travel to assigned suppliers, and lead multiple projects to completion. Expected travel is approximately 50%. This will be mostly local day trips with some overnight travel in the region. Additionally, you will: Utilize supplier Scorecards/metrics and analytical tools to quantify performance levels of individual suppliers and work with those suppliers to develop improvement plans to achieve 100% quality performance. Evaluate the impact of nonconforming product and assess suppliers’ corrective actions. Perform Source Inspection of product at supplier locations. Perform and Evaluate First Article Inspections per the requirements of AS9102 as well as train suppliers to meet expectations



Required Skills

*5+ years of relevant work experience in Supplier Quality Engineering

*Experience in an Avionics or Defense Electronics contract environment

*Working knowledge of AS9100 Quality Management System and AS9102B First Article requirements. *Experience with electrical/mechanical inspections, product test, equipment and instrumentation

*Experience with two or more software tools; Autotime, TipQA, PDM, and Excel

*Ability to identify trends from complex data streams.

*BS Degree in Engineering or Technical Field



Preferred Skills

*Lean Six Sigma Green or Black Belt

*Experience executing or coaching suppliers on AS9102 FAIs and PPVs

*Working knowledge of APQP and PPAP techniques

*Implementation of Lean and Six Sigma
 
Job Number: 760
Job Location: Minneapolis, MN
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Shay Rosen
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Equipment Engineer

Description:
Test Equipment Engineer

Location: Phoenix, AZ
Pay Rate: $60/hr
Duration: 1 year contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**
**NO RELOCATION COMPENSATION**

Description:
The Electronic Systems Center is seeking a Test Equipment Engineer in Phoenix, AZ. This position requires an innovative, highly motivated technically savvy incumbent with strong leadership skills. Additional responsibilities to include embracing and facilitating design synergy between development, production and customer test stands to maximize reuse, adaptability and efficiency.
The ideal candidate will have the ability to translate high level vision/plans into workable packages and continuous improvement capabilities.
• The Test Equipment Engineer is expected to work as the team lead with Electrical, Mechanical and Software Engineers providing electronic test equipment.
• This role is also responsible for design, fabrication, programming, and validation of multiple test stands.
• Manage a suite of test stands that are supporting the life cycle of the product. Work may be required to adapt/modify stands during software development, hardware/software verification or production testing on the controllers.
• Develop new applications or modifications of existing products.
• Modify the existing test equipment software.
• Design/validate hardware to support new applications or resolve outstanding issues (e.g. harness, board, chassis designs).
• Create/modify CAN configuration files in LabVIEW.
• Create/modify ARINC 429 database in Ballard CoPilot format.
• Create software load utility to simultaneously access and load software to units.

Basic Qualifications
• Bachelors degree required
• Minimum 8 years of experience in the design, fabrication, and validation of industrial test equipment
• Ability to read and interpret engineering drawing and schematics
• Digital and Analog circuit design experience
• Schematic capture experience
• Strong analytical, interpersonal and communication skills. Must be autonomous (self-starter) and be able to problem solve.
• Strong knowledge of National Instrument's LabView and Teststand programming languages.
• An ability to lead a team, decipher and guide others in the creation of complex software architecture.
• Proven ability to master several test equipment components of a project or program simultaneously (can't get lost in the details).
Preferred Qualifications
• VeriStand experience
• Experience leading teams across multiple sites
• Knowledge of PWB layout methodologies
• IBM Rational DOORS
 
Job Number: 9978
Job Location: Phoenix, AZ
Rate: 60
Per Diem: No
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Equipment Engineer

Description:
Test Equipment Engineer

Pay rate depends on experience (estimated range of $50-60/hour)

The Electronic Systems Center is seeking a Test Equipment Engineer in Phoenix, AZ.

This position requires an innovative, highly motivated technically savvy incumbent with strong leadership skills.

Additional responsibilities to include embracing and facilitating design synergy between development, production and customer test stands to maximize reuse, adaptability and efficiency.

The ideal candidate will have the ability to translate high level vision/plans into workable packages and continuous improvement capabilities.

• The Test Equipment Engineer is expected to work as the team lead with Electrical, Mechanical and Software Engineers providing electronic test equipment.

• This role is also responsible for design, fabrication, programming, and validation of multiple test stands.

• Manage a suite of test stands that are supporting the life cycle of the product. Work may be required to adapt/modify stands during software development, hardware/software verification or production testing on the controllers.

• Develop new applications or modifications of existing products.

• Modify the existing test equipment software.

• Design/validate hardware to support new applications or resolve outstanding issues (e.g. harness, board, chassis designs).


• Create/modify CAN configuration files in LabVIEW.

• Create/modify ARINC 429 database in Ballard CoPilot format.

• Create software load utility to simultaneously access and load software to units.





Basic Qualifications

• Minimum 8 years of experience in the design, fabrication, and validation of industrial test equipment

• Ability to read and interpret engineering drawing and schematics

• Digital and Analog circuit design experience

• Schematic capture experience

• Strong analytical, interpersonal and communication skills. Must be autonomous (self-starter) and be able to problem solve.

• Strong knowledge of National Instrument's LabView and Teststand programming languages

• An ability to lead a team, decipher and guide others in the creation of complex software architecture.

• Proven ability to master several test equipment components of a project or program simultaneously (can't get lost in the details).

Preferred Qualifications

• VeriStand experience

• Experience leading teams across multiple sites

• Knowledge of PWB layout methodologies

• IBM Rational DOORS

Bachelor's Degree
US Citizen



____________________________________________________________________

Butler America Aerospace, LLC. is proud to provide an equal opportunity workplace and be an affirmative action employer. Consider Butler because we are committed to hiring and retaining a diverse workforce. We recognize that we thrive on diversity for the benefit of our employees, our customers, and the communities where we are located. Employment with Butler America Aerospace, LLC. is governed on the basis of qualifications and competence without regard to race, color, religion, gender, national origin, ethnicity, veteran status, disability status, age, sexual orientation, gender identity, gender expression, marital status, mental or physical disability or any other legally protected status.
 
Job Number: 55192
Job Location: Phoenix, AZ
Rate: DOE
Per Diem: split
Overtime: possible
Duration: 12+ months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Becky Norman
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Software Engineer / PYTHON / lab experience required CA

Description:
163502
Job Title: Test Software Engineer


Work Site Address: Torrance CA
Job Number: 5692
Max Pay Rate: 50-60HR

Start Date: ASAP
End Date: 6-12 Months
Position Type: Contract


Essential job functions:
1. Responsible for developing Python software to control and test
electro-hydraulic actuation systems and occasionally, electronic boxes.
2. Software: Python language is a must and dSpace (ControlDesk and
AutomationDesk) experience highly desired. C language and LabVIEW experience is a plus.
3. Documentation: Must have good verbal and written skills and
experience following requirements, documenting the software design and verifying the software implementation meets all the imposed requirements.


Required skills:
Candidate must be proficient in software development in a lab environment using Python. C language, dSpace and LabVIEW experience a plus. Must be knowledgeable using Windows applications such as Visio, Word and other Microsoft Office tools. Must be willing to take direction and execute assignments as asked. Must be self-motivated and willing to take ownership of assignments and look for ways to execute on time and on budget. Must be willing to report status to Project leads.

Education:
Bachelor degree in Electrical Engineering and 5 or more years of experience in the areas listed above preferred
 
Job Number: MB-5692
Job Location: Torrance, CA
Rate: 50-60/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Wiring Design Engineer

Description:
Electrical wiring and Harness Design
Wiring standards and best practice design
Aircraft zonal requirements and coordination
Power, ground, signals, and databuses
Wire gauge and type consideration
Connector and backshell qualification and selection (EMI, high temperature, high vibration, environmentally sealed/hermetic, connector compatibility, pin selection, ect.)
Misc. electrical harness hardware selection (splices, solder sleeves, grounding blocks, tie wraps, terminal lugs, ect.)
Development of configuration managed, electrical harness design packages
Parts list, bill of materials, parts and standards callout
Fabrication techniques for integrated wiring assemblies
Cost, weight, and schedule trade-offs
Wire Diagram and Harness Drawing Generation
Interpretation of system interface control data to conceptual schematics for point-to-point wiring connections between units
Evolution of conceptual schematics into aircraft wiring information
Coordination of 3D data for implementation into harness drawing packages
Creation and knowledge of drawing part number changes vs. drawing revisions
Use of wiring design tool to generate wire diagrams and harness drawings
System integration as it applies to complex wiring assemblies
Good overall working knowledge of aircraft electrical/electronic system
Implementation of wiring and harness level system separation and segregation to avoid common cause failure modes, including electrical signal and power separation
Databus and digital equipment interface considerations


Qualifications

BSEE or equivalent technical training, and a minimum of 5 years applicable industry related work experience in wire design
Experience with IGE-XAO SEE Electrical Expert is preferred; Mentor Graphics, Autocad, Zuken, or similar smart wiring design tool is required
Good working knowledge with Microsoft Office suite (Word, Excel, and PowerPoint) is required
Good working knowledge with Teamcenter for releasing wire diagrams and harness drawings, or familiarity with other PLM systems (such as SmartTeam, etc.) is desired
Good working knowledge with Electrical Wiring Interconnect System (EWIS) is desired



The Structures Company is an AA/EOE.
 
Job Number: 229
Job Location: NC
Rate: $55-$60/Hr.
Per Diem: Yes
Overtime: Yes
Duration: 9+ Month
Start Date: November
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

Back to Advanced Job Search