Job Search Results

246 listings were found that matched your search words: Keywords: Civil OR Industrial ( Please note: We assume that all U.S. jobs are "EOE/M/F/D/V" unless otherwise noted. ) ( Listing generated 2024/04/26 Friday 06:01PM Pacific Time by ContractJobHunter. )

Listings 1 - 246

Go To My Job Tracker

Expand all descriptions

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
A&P Mechanics

Description:
STS Technical Services is hiring A&P Mechanics in Fort Worth, Texas.

Overview:

Contractors will be performing line maintenance on CRJ 900 AC at DFW. Techs will work out on the ramp, so ideal candidate would have experience on CRJ AC, experience with line MX and is able to work in a fast-paced environment.

Techs will be SIDA badged right away, so we need to make sure all candidates understand that they will not be able to stay if they have any FAA/DOT disqualifying violations in their past.

All mechanics must have an active A&P license.

Job Duties:
Perform required maintenance on company aircraft.
Ensure all tasks assigned are completed in accordance with manufacturer’s manuals and FAA accepted or approved data
Adheres to all applicable FARs and Company policies and procedures outlined in the General Procedures Manual (GPM) and the Company’s, FAA Approved Continuous Airworthiness Maintenance Program
Interpret aircraft technical manuals, and electrical drawings
Responsible for movement of aircraft in hangar and on ramp, including operation of tow-tractor
Maintain work area in a neat, clean and safe condition at all times
Perform only those tasks for which proper training and qualification has been obtained
Document On-the-job training (OJT) on from (T#004) for training received on tasks which Mechanic/Repairmen has not previously performed
Perform other duties as assigned
Qualifications:
Hold a Mechanic’s Certificate with Airframe and Powerplant ratings.
Meet requirements of 14 CFR Part 65.83
Familiar with parameters and standards established specifically in Federal Aviation Regulations, Parts 53, 65 and 91
Familiar with basic inventory management functions
Strong interpersonal skills and ability to work harmoniously with others
Familiar with computer data entry, and Microsoft Windows based programs
Capable of lifting 75 lbs
Able to work flexible schedule to include day and night shifts
Willing to travel as needed
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 39167
Job Location: Fort Worth, TX
Input Date: 06/28/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Julia Treichel
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
A&P Technicians

Description:
STS Technical Services is hiring A&P Technicians in Macon, Georgia. 

Job Description:

Examines, repairs, assembles, and tests aircraft accessories, such as engines, power brake units, auxiliary electric motors, carburetors, spark igniters, valves, pumps, fuselage, wings, and oil and fuel tanks, using hand tools and testing devices, and following shop orders and manufacturer’s specifications.

Duties & Responsibilities:
Performs routine inspections or special maintenance to prevent failures of aircraft mechanical systems and components; performs complete operations checks on hydraulic systems, pneumatic systems, plumbing, precision assemblies, rigging and controls, etc.
Determines when a component should be repaired or replaced; decides upon necessary repair scheme, quantity and type of parts needed to accomplish proper repair; secures necessary parts from Stores or departmental supplies.
Repairs, reworks, and modifies worn or defective assemblies, making alterations or changes to units when necessary.
Follows approved maintenance procedures for subject component or system, consulting internal procedures that have been FAA approved, original equipment manufacturer’s (OEM’s) technical data, and work package instructions.
Consults the Maintenance Lead if technical assistance is needed during the repair process.
Inspects completed work before sign off to identify any needed rework; performs rework; ensures that repaired components meet prescribed standards and tolerances.
Performs serviceability tests on repaired components/systems using test equipment specified by the original equipment manufacturer.
Completes work package documentation by signing/stamping after finishing all designated operations.
Submits completed/in-progress work to Maintenance Lead for review; submits to Quality Assurance for final/in-progress inspection and re-certification of airworthiness.
Provides supervisor with performance statistics and other operational data, as requested.
Properly accounts for time and materials used in all inspection and maintenance activities.
Qualifications:

Education:

High School/ GED
A&P

Experience:

Required: A & P License; minimum 2 to 5 years’ experience with small aircraft/ general aviation trained in one aircraft type; proficient and demonstrated skill with the aircraft.
Several years or actual and recent depot level aircraft maintenance and repair experience is required, (repair of mechanical systems, components, hydraulics, pneumatics, plumbing, rigging etc.); proficiency with interpretation of original equipment manufacturer’s technical data or work package instructions; experience using power or pneumatic hand tools.

Knowledge, Skills & Abilities:

Assignments require Standard Knowledge of a Technical Area.
Rapid learning and application of new information and concepts within the Technical Area begins to take place at this level.
Performs moderately complex Technical Assignments.
Assists Advanced Level Technicians on more complex technical assignments.
Knowledge of machines and tools, including their uses, repairs and maintenance.
Knowledge of principles and processes for providing customer service.
Skilled with repairing, troubleshooting, installing and equipment maintenance.
Knowledge of FAA requirements for aircraft maintenance procedures
Ability to interpret and comprehend manufacturer and FAA approved work package instructions; ability to inspect and install parts/components.
Working Conditions / Environment / Special Requirements:
Ability to work in a safe professional manner adhering to all regulatory requirements including, OSHA, EPA, State and Federal regulations.
As required by the position, must have the ability to wear a respirator as per OSHA 29 CFR 1910.134 “Respirator Protection” regulations with no restrictions that would prevent the proper use and/or seal of the respirator.
Ability to work flexible hours and different shifts if required
Employees will be subject to the random drug and alcohol testing under FAA regulations
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 41030
Job Location: Macon, GA
Input Date: 06/28/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Sabrina Craig
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Advanced Design Transfer Engineer

Description:
An Advanced Design Transfer Engineer job in McLeansville, NC is currently available through Belcan. In this role, you will be responsible for assisting in the design, participating in the development, and leading the transfer to manufacturing of the products such as mechanical, thermal, hydraulic, thermodynamic, or heat transfer for production, transmission, measurement, and use of mechanical and/or electromechanical systems, instruments, controls, engines and/or machines. To be considered for this role, you will have a Bachelors degree in Mechanical Engineering, or a related Science, Engineering, or Mathematics field and a minimum of 5 years of relevant experience. Due to the nature of the work performed in this facility, US citizenship is required.

Advanced Design Transfer Engineer Job duties:
* Assist in the design, participate in the develop and lead the transfer to manufacturing of the products in such areas as mechanical, thermal, hydraulic, thermodynamic, or heat transfer.
* Use of mechanical and/or electromechanical systems, instruments, controls, engines and/or machines.
* Ability to quickly grasp and apply new information.
* Effectively handle and lead complex assignments.

Qualifications:
* Fully proficient with technical standards, manufacturing/fabrication methods, and government/industry standards.
* Highly experienced in manufacturing processes with an emphasis on transferring new designs to manufacturing.
* Fully proficient with design for manufacturing techniques.
* Proficient with solid modeling CAD tools to create mechanical models and drawings.

If you are interested in this Advanced Design Transfer Engineer position in McLeansville, NC please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 322503
Job Location: Mc Leansville, NC
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 03/29/2022
Last Updated: 06/02/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Advanced Software Engineer

Description:
An Advanced Software Engineer job in Scottsdale, AZ is currently available through Belcan. In this role, you will be responsible for performing infrastructure requirements analysis, participate in research, design, development, and test of software and tools, to include development of new work products or enhancing existing applications, systems, or embedded products. To be considered for this role, you will have a Bachelors Degree in Software Engineering or a related Science, Engineering or Mathematics field, plus a minimum of 5 or more years of relevant experience. Due to the nature of the work performed in this facility, US citizenship is required.

Advanced Software Engineer Job duties:

* Software application development (primarily Java) in a process-oriented organization.
* Maintains hardware, software, and related components of project.
* Leverages scaled agile ceremonies, practices, and organization to plan, communicate, develop, and deliver Minimum Viable Product (MVP) solutions.
* Researches and analyzes data, such as customer design proposals, market trends, state of technology and industry, specifications, and manuals to determine feasibility of design.


Qualifications:

* Proficient ability to use the appropriate standards, processes, procedures, and tools throughout the software development life cycle to support the generation of technical engineering products.
* Software C/C++ and Java Development.
* Capable of working on problems of diverse scope requiring extensive analysis of data and evaluation of a multitude of factors.
* Skilled in communicating issues, impacts, and corrective actions.
* Remote available


If you are interested in this Advanced Software Engineer position in Scottsdale, AZ please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 322779
Job Location: Scottsdale, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 04/06/2022
Last Updated: 08/17/2022
Firm Name: BELCAN TECHSERVICES
Attention: FrancisOsolnick
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aerospace Designer

Description:
An Aerospace Designer job in Cincinnati, OH is currently available through Belcan. In this role, you will be developing 3D models and working with Detailers to create 2D drawings of pre- and post-production detail parts, assemblies, sub-systems, and integrations for aircraft engines. A Government Secret Clearance is needed or the ability to obtain one is a requirement for these positions.
Principle Responsibilities:
1. Using engineering design basis requirements, determines the appropriate design package.
2. Coordinate with the Project Manager/Senior Designer, on technical design, form fit and function.
3. Works with design group assigned to project, in the development and final completion of the design package for issue to client.
4. Prepares various drawings and discipline design documents such as specifications, material and equipment lists, change orders, transmittals, etc., as required.
5. Ensures compliance with established design standards and practices.
6. Ensures operability and maintenance.
7. Assists in mentoring and training of detailers as required.
8. Documents all agreements and understandings reached with other disciplines and client personnel.
9. Resolves client questions or field problems concerning the design package.
10. Identifies design scope changes and their impact.

Required Qualifications:

· Strong parametric CAD design and TeamCenter.
· Microsoft Office Suite experience
· Proficient use of ANSI/ASME Y14.1-2009 design and drafting standards including GD&T applications
· Experience with reviewing engineering drawings and related documents for technical accuracy
· Excellent communication and interpersonal skill
· Ability to function with minimal supervision
· Able to efficiently multitask and flexible enough to meet evolving customer prioritization of tasks
· Legal authorization to work in the U.S. is required/must be U.S. Citizen or U.S. Person

Preferred Qualifications:
· NX is the preferred CAD software
· Experience with Stack-ups
· Familiarity with aerospace manufacturing processes but not required.
· Experience working in aerospace field, specifically Turbine engine experience
· Experience Casting, Forgings and Machining's.
· Experience with Model Based Definition (MBD) is a plus but not required.
Environment:
Office sedentary; use of work phones, computers, printers, and general office equipment.
Physical Demands: No exposure to field conditions or manufacturing environments.
Travel: 0%
Qualifications:
A. Education: Associate degree or equivalent experience.
B. Minimum Experience: Minimum of an associate degree in drafting from an accredited vocational/technical institute
C. Preferred Experience: Minimum of two (2) year industrial drafting or the equivalent of an associate degree in drafting from an accredited vocational/technical institute; or a degree from four (4) year bachelor's degree in engineering field.
D. Technology: Proficient in the use of CAD and other computer processing tools. #cjobs

 
Job Number: 318185
Job Location: Cincinnati, OH
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 03/05/2022
Last Updated: 02/08/2023
Firm Name: BELCAN TECHSERVICES
Attention: AnthonyDonofrio
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aerospace Tool & Die Engineer

Description:
Seeking Principal Tool Engineer for defense aerospace company in El Segundo, CA for 12+ months CONTRACT
US Citizen required
CLEARABLE – not needed walking in (prior secret w clean background)
Must pass Drug Test & Background Check

Job Description
Seeking a Principal Engineer Tool Design to join our team of qualified, diverse individuals.

The selected candidate works with program management on industrial machinery and equipment, tools, dies, gauges, jigs, fixtures, and machine attachments required for production or experimental use, considering such factors as accessibility, economy, mobility, and performance requirements. Acts as tool integration focal and follows tooling proposals, cost estimates, master schedules, and acts as cost account manager focal for the department. Knowledge of tool manufacturing process is required for this role as well as tool inspection methods such as laser inspection.

A successful Principal Tool Engineer shall be able to understand the tool manufacturing process, create schedules and budgets as well as put proposals together. This person shall be able to conceptualize tool designs, and understand tool drawings for fabrication.

The Tool Engineer, while being the principal contributor and/or leader, shall work with internal/external customers, and colleagues to ensure that the tool fabrication meets the customer needs and see it through each phase of the productions process.

In addition, it is essential that this person have the ability to mentor less experienced engineers/technicians, work autonomously and in a team environment, adapt to processes and company policy while providing input or methods of process/design innovation.

Duties
Duties include, but are not limited to:
• Execute and prepare meetings with leadership including program management to status and provide project updates.
• Process tracking via Earned Value Management System (EVMS).
• Execution/Lead/Coordination of design and fabrication requirements for tools and fixtures with customers, both internal and external.
•Conduct root cause failure investigations and implement associated corrective actions.
•Lead and Assist Product Development Team with new product releases to ensure manufacturability, validate process/technology.
• Responsible for tracking cost management aspects of program regarding tool manufacturing.

Basic Qualifications:
• Bachelor’s Degree with 5 years of experience in medium to large scale tooling fabrication and/or production fabrication including composites, metallic, coatings application, and/or production manufacturing operations OR Master's Degree with 3 years of experience OR 9 years of experience in lieu of a degree
•The ability to obtain and maintain a DOD Secret Clearance within a reasonable period of time, as determined by the company to meet its business need
***This CONTRACT that does NOT include any paid holidays, paid vacation or sick days***
If qualified, email a WORD version copy of your resume and then call Peggy at 774-210-4108 to discuss.
 
Job Number: PL-Tool Eng.CA
Job Location: El Segundo, CA
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 12+ months
Start Date: 2-3 weeks
Input Date: 06/13/2022
Last Updated: 10/31/2022
Firm Name: ADVANCED TECHNOLOGY
Attention: Peggy Sr. Recruiter
Address: 15 KENNETH MINER DR
City, State: WRENTHAM, MA 02093
800 Phone: 877/334-7700
Fax Phone: 877/335-7700
Website: www.advancedtechno.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aircraft Maintenance Technician (South Carolina)

Description:

Location: North Charleston, SC

Very exciting opportunity to work with one of the world's largest aerospace companies! The contracts are regularly extended and direct opportunities are often available.

Qualifying Questions:

    Are you a US persons as noted in the Job description? Do you meet the educational requirements for this job? Do you meet the experience requirements for this job? Are you able to commute to the job location or are you able to relocate for the role?

Details:

    Contract 12 mos. - potential for extended term Medical, dental, and vision plan with United Healthcare Robust 401K Potential for per diem and overtime Sick pay, and holiday pay for those who qualify

Summary:


Flight Readiness Technician B

Performs final component installation and systems operational functional testing. Performs rework, modification, maintenance, preflight and post-flight checks for delivery.
On this assignment teammates are expected to work safely with the highest quality and integrity. Collaborate with humility inclusion and transparency and respect one another and advance a global, diverse team.
The assignment requires teammates to perform final component installation and systems operational functional testing. Performs rework, modification, maintenance, preflight and post-flight checks for delivery.
KEYWORDS: composites, commercial aircraft, rework OR modifications, pre flight, post flight, routine checks, fuel run, engine run, A&P license.
Looking for exp with live aircraft need this exp, 1-7 years exp on aircraft maintenance and aircraft structures, mx includes hydraulic, pneumatic, electrical, propulsion.

Required Skills/Experience
1-7 Years experience in the following:

1. Pre Flight- Post Flight- troubleshooting- working on live aircraft
2. Aircraft maintenance and repair
3. Major dispatch services
4. Knowledge of live aerospace vehicle operations, environment and support. This includes pneumatic, hydraulic, electrical, and propulsion systems operations.
5. Knowledge of aircraft maintenance processes, policies, culture, and standard practices (e.g., return to service, normal duties/responsibilities, time constraints, available resources) in order to effectively represent the operator within the company.
6. Knowledge of responsibilities and tasks performed by various Operations departments/disciplines (e.g., Fabrication, Assembly, Tooling, Quality, Industrial Engineering, Manufacturing Engineering). Knowledge of the interactions between departments/disciplines and how their products/processes affect one another and impact non-operations processes (e.g., Engineering, Business, Logistics).
7. Knowledge of quality systems (e.g., inspection process, Company quality procedures).
8. Knowledge of regulatory authorities' (e.g., FAA, JAA, UK CAA) rules, regulations, flight test procedures, and philosophy.
9. Must be able to stand, lift up to 35lbs and climb stairs
10. Will be working outside in the elements 100% of the time- Outside on the flightline in all seasons.
11. 787 or composite aircraft experience required

Preferred:
A&P License
Must be available to work 1,2,3 shift as business needs change

Typical shift times:
5:30am- 2pm
2:30am-11pm
11pm-6am
2021 FRTI Assignment tenure Bonuses do not apply to this req; ensure your recruiters are aware and are not discussing this as a perk.
Additional Skills:
Education/experience typically acquired through basic education (e.g. High School diploma/GED) and typically 1 or more years' related work experience or an equivalent combination of education and experience (e.g. vocational school/Associate).

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2801-2785-11534
Job Location: North Charleston SC
Per Diem: --
Overtime: --
Start Date: 2022-07-07
Input Date: 01/28/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Airworthiness Engineer

Description:
Works independently to perform a variety of engineering and supportive tasks associated with the Compliance Assurance Organization, Organization Designation Authority, FAA, EASA and other foreign civil aviation authorities. Responsibilities include generating, processing, and supporting the review of engineering documentation required. for certification programs from project start to closure.

Unique Skills:
The ideal candidate for this position will have experience reading and analyzing certification plans, test plans, and test reports.
• Preference given for experience authoring, reviewing, and analyzing flight test plans and reports. This position supports the Test Compliance team's work to develop and manage the TIA checklist in support of certification TIA efforts for TC programs.
• Additionally, the ideal candidate will have technical experience and knowledge of test configuration and requirements definition processes and procedures as well as experience with engineering documentation.
• The candidate must possess exceptional organizational skills, interpersonal communication skills, and be able to manage multiple project milestones simultaneously while adhering to a stringent and dynamic test schedule.

Education and Experience Requirements:
• Bachelor's Degree or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job or 5 years specific certification experience or 10 years broad engineering experience.
• Experience credit considered for related adv degrees limited to 2 yrs for Masters, 4 yrs for PhD in fields applicable to this job.

Principle Duties and Responsibilities:
• Prepares and develops complex certification plans describing the project scope, certification deliverables, means of compliance, and test/conformity requirements.
• Independently reviews certification deliverables to compare compliance checklist, compliance showing, and 8100-9 finding.
• Prepares TIA pre-requisites, draft TIA, and open projects/open PR memo. Hosts Pre-Flight Board Meeting with FAA.
• Independently prepares Summary Compliance Reports.
• Creates and maintains of Project File for large or complex programs.
• Creates and submits correspondence with regulatory authorities as assigned.
• Develops TC and STC FCAA validation deliverables including application & classification, SSD & SEI effectivity, meeting agendas, presentation generation & review, presentation coordination for generation with Subject Matter Experts, TC & STC internal coordination and action tracking, FCAA responses, develops validation work plans, coordinates company responses for Issue papers / CRIs / CAIs, and the FAA responses , drafts VTC, VTCDS, and coordinate responses for closure of the validation project.
• Perform other duties as assigned.

Other Requirements:
• Working knowledge of Phases of TC, post-TC and STC certification projects, and the associated FAA Orders and Policy
• ODA Procedures Manual and key differences in process between different types of projects
• Part 25 regulations, how those regulations drive design and system redundancy requirements, and the supporting regulatory guidance material
• Certification testing process and deliverables
• Multiple aircraft systems interfaces and dependencies
• Airworthiness limitations and associated regulations and operating requirements
• Differing certification bases across the production models
• Determining design changes (major/minor) and what systems and regulations may be impacted by those design changes, and Validation procedures, project classification and significant standards differences for EASA, Brazil, Canada and China.
 
Job Number: 24-670
Job Location: Savannah, GA
Rate: $60-$65/hr
Per Diem: Yes
Overtime: Possible
Duration: 1+ year
Start Date: ASAP
Input Date: 01/31/2022
Last Updated: 06/20/2022
Firm Name: PRECISION PERSONNEL INC
Attention: Matt Gregory
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Airworthiness Engineer - TS I

Description:
Inconen is looking for an Airworthiness Engineer - TS I for our client, a well-known Aerospace Company, in Savannah, GA.

**Candidates must be a U.S. Citizen or Permanent Resident**

Unique Skills:
-The ideal candidate for this position will have experience reading and analyzing certification plans, test plans, and test reports.
-Preference given for experience authoring, reviewing, and analyzing flight test plans and reports.
-This position supports the Test Compliance team's work to develop and manage the TIA checklist in support of certification TIA efforts for TC programs.
-Additionally, the ideal candidate will have technical experience and knowledge of test configuration and requirements definition processes and procedures as well as experience with engineering documentation.
-The candidate must possess exceptional organizational skills, interpersonal communication skills, and be able to manage multiple project milestones simultaneously while adhering to a stringent and dynamic test schedule.

Education and Experience Requirements
-Bachelor's Degree or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job or 5 years specific certification experience or 10 years broad engineering experience.
-Experience credit considered for related adv degrees limited to 2 years for Masters, 4 years for PhD in fields applicable to this job.

Other Requirements:
-Working knowledge of Phases of TC, post-TC and STC certification projects, and the associated FAA Orders and Policy;
-ODA Procedures Manual and key differences in process between different types of projects;
-Part 25 regulations, how those regulations drive design and system redundancy requirements, and the supporting regulatory guidance material;
-Certification testing process and deliverables;
-Multiple aircraft systems interfaces and dependencies;
-Airworthiness limitations and associated regulations and operating requirements;
-Differing certification bases across the production models;
-Determining design changes (major/minor) and what systems and regulations may be impacted by those design changes, and Validation procedures, project classification and significant standards differences for EASA, Brazil, Canada and China.

Position Purpose:
-Works independently to perform a variety of engineering and supportive tasks associated with the Compliance Assurance Organization, Organization Designation Authority, FAA, EASA and other foreign civil aviation authorities.
-Responsibilities include generating, processing, and supporting the review of engineering documentation required for certification programs from project start to closure.

Principle Duties and Responsibilities:
Essential Functions:
-Prepares and develops complex certification plans describing the project scope, certification deliverables, means of compliance, and test/conformity requirements.
-Independently reviews certification deliverables to compare compliance checklist, compliance showing, and 8100-9 finding.
-Prepares TIA pre-requisites, draft TIA, and open projects/open PR memo. Hosts Pre-Flight Board Meeting with FAA.
-Independently prepares Summary Compliance Reports.
-Creates and maintains of Project File for large or complex programs.
-Creates and submits correspondence with regulatory authorities as assigned.
-Develops TC and STC FCAA validation deliverables including application & classification,
-SSD & SEI effectivity,
-Meeting agendas,
-Presentation generation & review,
-Presentation coordination for generation with Subject Matter Experts,
-TC & STC internal coordination and action tracking,
-FCAA responses,
-Develops validation work plans,
-Coordinates company responses for Issue papers / CRIs / CAIs, and the FAA responses,
-Drafts VTC, VTCDS, and coordinate responses for closure of the validation project.
-Perform other duties as assigned.
 
Job Number: 17623
Job Location: Savannah, GA
Rate: $60.00 - $65.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 01/31/2022
Last Updated: 05/23/2022
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Airworthiness Engineer II

Description:

Unique Skills:

1) Strong background with Federal Aviation Certification Processes and upderstanding and application of 14 CFR part 21 and part 25 regulations for Engineering Type Design change projects.

2) Ability to review and understand engineering certification plans and provide guidance for compliance demonstration.

3) Experience working engineering design change projects (on the engineering side) is required.

4) Some understanding of EASA processes and CS 25 regulatory requirements is required (experience with other foreign authoriies is acceptable in lieu of EASA).

5) Previous experience working with a delegated organization (ODA, DAS, DOA) is preferred but not required.

6) Understanding of conformity is desired.

Education and Experience Requirements
Bachelor's Degree in a discipline relevant to the position required. 10 years specific certification experience or 15 years broad engineering experience. Experience credit considered for related adv degrees limited to 2 yrs for Masters, 4 yrs for PhD in fields applicable to this job.Position Purpose:

Guides and independently performs a variety of engineering and supportive tasks associated with the Compliance Assurance Organization, Organization Designation Authority, FAA, EASA and other foreign civil aviation authorities. Responsibilities include guiding, generating, processing, and supporting the review of engineering documentation required. for certification programs from project start to closure.
Job Description

Prepares, develops, and reviews complex certification plans describing the project scope, certification deliverables, means of compliance, and test/conformity requirements. Coordinates with EAR to resolve issues with certification deliverables and 8100-9 finding. Prepares TIA pre-requisites, draft TIA, and open projects/open PR memo. Hosts Pre-Flight Board Meeting with FAA. Independently prepares Summary Compliance Reports for more complex projects. Responsible for tracking project task assignments, status, and estimated complete dates as assigned. Develop and present briefings on certification strategy, status to program leadership, as assigned. Develops TC and STC FCAA validation deliverables including ROM development, application & classification, SSD & SEI effectivity, schedule development, meeting agendas, presentation coordination for generation with Subject Matter Experts and review, TC & STC internal coordination and action tracking, FCAA responses, ensure publication of minutes and actions, develops validation work plans, TC & STC internal coordination and action tracking, coordinates company responses for Issue papers / CRIs / CAIs, and the FAA responses, coordinate the review of draft VTC, VTCDS, final AFMS and coordinate responses for closure of the validation project.

Other Requirements:

Working knowledge of Phases of TC, post-TC and STC certification projects, and the associated FAA Orders and Policy; ODA Procedures Manual and key differences in process between different types of projects; Part 25 regulations, how those regulations drive design and system redundancy requirements, and the supporting regulatory guidance material; Certification testing process and deliverables; Airworthiness limitations and associated regulations and operating requirements; Differing certification bases across the production models; Determining design changes (major/minor) and what systems and regulations may be impacted by those design changes; and Validation procedures, project classification and significant standards differences for EASA, Brazil, Canada and China. In-depth knowledge of design and systems knowledge across multiple models, requirements and potential issues that would be applicable for new/novel technologies, and validation procedures, project classification and significant standards differences across a range of countries.

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2715-2699-11534
Job Location: Savannah GA
Per Diem: --
Overtime: --
Start Date: 2022-02-07
Input Date: 01/18/2022
Last Updated: 09/21/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: JR Gonsalves
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Assemblers

Description:
STS Technical Services is hiring Assemblers in Menomonee Falls, Wisconsin.

Position Overview:

The Assembly C position performs a limited number of assembly, test, and/or material handling functions as assigned within a focus factory. This position requires training and guidance to perform multiple tasks.

Pay Rates / Hours / Location:
Starting Rate of Pay: $20 - $24 / hour
Sign-on Bonus: Up to $1500!
Shift: 4:50 am – 2:50 pm | Monday – Thursday
Location: Menomonee Falls, WI – Right off the interstate at the corner of Hwy 41 and Pilgrim Road
Position Perks:
Direct Hire Openings
1st Shift
4 day work week
Tuition Reimbursement
Certification Assistance
Career Growth Opportunities
Self- Paced Advancement
Overtime available
Quarterly Bonus Potential
On-site Gym
Essential Duties & Responsibilities:

Activities of the position include, but are not limited to the following (Assembly C’s may require assistance):

Takes the initiative to get work assignment from Supervisor, Lead person or scheduling tools
As job assignment is obtained, gathers all necessary material and documentation to perform the task
This includes identifying the correct revision / date of documents and, if necessary, takes appropriate action to correct
Follows work instructions, prints, and/or available procedures and performs assembly, test, and material handling operations required to make a part or final product
The highest level of safety and quality standards are followed along with meeting productivity requirements
Promotes and contributes to a team work environment
Adheres to all safety rules and performs required housekeeping duties
Assists other work areas or departments as needed
Assists in cross training others
With assistance, performs functions of multiple assembly stations within a focus factory
Essential Functions:
Must be able to assemble and follow as specified sequence of events
Must be able to safely perform assembly functions using hand, pneumatic and electric torque tools
Must be able to safely unload components onto the assembly line or fixtures and use hand trucks to move components
Qualifications / Prerequisites:
A H.S. diploma or equivalent is required.
English literacy
Basic math skills / ability to do this job
Basic blueprint reading skills/ability to do this job – including weld symbols
Proficiency in using basic gauges and measuring instruments
Manual dexterity
Physical ability to do the job
Demonstrated acceptable performance in each of the following areas as they relate to the activities listed above:
Safety
Quality
Productivity
Attitude / Teamwork
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 37922
Job Location: Menomonee Falls, WI
Input Date: 06/28/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Samantha Stark
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Engineer

Description:
Are you known for your attention to detail and pride yourself in being a responsible Avionics Engineer? Join our team and take your career to new heights!

Principal Duties and Responsibilities:
*Avionics and/or Electrical system development and integration experience is required.
*Experience with the FAA (14 CFR Part 25) and/or EASA (CS-25) certification is required.
*Experience with Data Concentration Networking and/or Integrated Modular Avionics is preferred.
*Understanding of DO-160, DO-178 and DO-254 processes is preferred.
*System integration lab and/or aircraft functional testing and troubleshooting experience is preferred.
*ARP-4754A experience including requirements development, validation and verification is preferred.
*Experience with IBM DOORS or other requirements management database is preferred.

Unique Skills:
*Requirement validation Including Tracing, Rationale and Technical Supplier Coordination for ARP4754A Artifacts
*Completeness and Correctness per Regulatory and Gulfstream Processes
*System Integration with Integrated Modular Avionic Platforms
*Verification (Testing) Including Lab and/or Aircraft Troubleshooting
*System Knowledge Needed Over Wiring Design

Education and Experience Requirements:
*Bachelor’s degree in aerospace, mechanical, industrial or manufacturing engineering
*Background in the aerospace industry preferred.

Equal Opportunity Employer/Veterans/Disabled
 
Job Location: Savannah, GA
Rate: $80/HR-$89.76/HR
Per Diem: Possible
Overtime: Possible
Duration: Long Term Contract
Start Date: ASAP
Input Date: 10/05/2021
Last Updated: 06/14/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Corey Halliday
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Technicians

Description:
STS Technical Services is hiring Avionics Technicians in Oscoda, Michigan.

Position Summary:

Install, inspect, test, adjust, or repair avionics equipment, such as radar, radio, navigation, and other control systems in aircraft.

Duties & Responsibilities:
Test and troubleshoot instruments, components, and assemblies, using circuit testers, oscilloscopes, or voltmeters.
Test electrical equipment or systems to ensure proper functioning.
Troubleshoot equipment or systems operation problems.
Keep records of maintenance and repair work.
Maintain repair or maintenance records.
Adjust, repair, or replace malfunctioning components or assemblies, using hand tools or soldering irons.
Install machine or equipment replacement parts.
Adjust equipment to ensure optimal performance.
Repair worn, damaged, or defective mechanical parts.
Install electrical and electronic components, assemblies, and systems in aircraft, using hand tools, power tools, or soldering irons.
Install electrical components, equipment, or systems.
Set up and operate ground support and test equipment to perform functional flight tests of electrical and electronic systems.
Test electrical equipment or systems to ensure proper functioning.
Assemble components such as switches, electrical controls, and junction boxes, using hand tools or soldering irons.
Assemble electrical components, subsystems, or systems.
Connect components to assemblies such as radio systems, instruments, magnetos, inverters, and in-flight refueling systems, using hand tools and soldering irons.
Install electrical components, equipment, or systems.
Lay out installation of aircraft assemblies and systems, following documentation such as blueprints, manuals, and wiring diagrams.
Lay out work according to specifications.
Interpret flight test data to diagnose malfunctions and systemic performance problems.
Analyze test or performance data to assess equipment operation.
Coordinate work with that of engineers, technicians, and other aircraft maintenance personnel.
Confer with coworkers to coordinate work activities.
Fabricate parts and test aids as required.
Fabricate parts or components.
Assemble prototypes or models of circuits, instruments, and systems for use in testing.
Assemble electrical components, subsystems, or systems.
Qualifications:
Valid FAA Airframe & Powerplant license required
Previous experience working with avionic and electrical systems, or completion of a technical school program in a similar field of study
Must provide own tools
Ability to use time clock and company intranet
Manage time to effectively meet company priorities
MRO experience is highly preferred
Crawl in and out of tight spaces
Lay in a prone position for more than 4 hours
Comfortably work at elevated heights of at least 40 feet for extended periods of time
Lift balance, and carry 50 lbs.
Work in enclosed hangars and exterior weather conditions
Work in close proximity to other people
Required Abilities:
Crawl in and out of tight spaces
Lay in a prone position for more than 4 hours
Comfortably work at elevated heights of at least 40 feet for extended periods of time
Lift balance, and carry 50 lbs.
Work in enclosed hangars and exterior weather conditions
Work in close proximity to other people
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 36243
Job Location: Oscoda, MI
Input Date: 06/28/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Kimberley Ayres
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Buyer

Description:
***MUST LIVE NEAR BETHLEHAM PA****
M-F 1st shift 8am 4:30pm

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Job Description

Responsible for planning, sourcing, and procurement of goods as required by a variety of procurement categories. The Buyer / Sr. Buyer will work closely with suppliers, customers, and all departments within PMC, to ensure the customer's requirements are met, and communicate any issues quickly and accurately. This is a full time exempt, salary position and reports directly to the Supply Chain Manager.

Responsibilities

    Maintain positive working relationships with customers, suppliers, and Atlas personnel from other organizations. Analyzes MRP requirements and issues Purchase Orders to suppliers based on system parameters. Develops Requests for Quotations and analyze supplier responses. Negotiates with suppliers to obtain cost savings, economical prices, quantities, delivery dates, and payment terms according to Atlas specifications, production schedules, material requirements, and company policies and procedures. Track delivery of open purchase orders and advise appropriate personnel if customer delivery is in danger of not being met. Attend required meetings with complete and accurate data Maintain ethical business practices in all aspects of position as an upstanding Atlas representative. Monitor any/all immediate buy needs to verify timely deliveries. Accomplish daily tasks accurately and in a timely manner (phone calls/email) Document all issues of concern and important information Understand and perform within the company's Policy Manual Maintain inventory levels and ensure levels/orders adhere to the ABC policy set forth. Ensure profitability on procured products. Develop recommendations to improve policies and procedures Minimize days/hours missed during standard business days (notify supervisor prior to any/all time away in advance when able to). Utilizes problem solving skills to provide solutions to problems that arise on a daily basis.

Additional Responsibilities

    All employees are responsible for the quality of their work and implementation of the Quality Policy. Ensure that document and digital data control is in compliance with AS9100 guidelines. Has authority to stop any production order for quality concerns. Performs other similar or related duties as necessary. Consistently provides professional business communication to internal & external customers and peers. Strives to consistently act in accordance with normal business professionalism principles during all company events, including meetings, off-site supplier visits, audits etc.

Job Qualifications

    High School Diploma or G.E.D., College degree preferred MRP/ERP experience highly desired Detail oriented with meticulous work habits Proficient in Microsoft Office Must have excellent interpersonal and communication skills Team Oriented

Work Environment

    Office and Industrial shop environment

Skills & Knowledge Requirements

    Atlas Employee Handbook Atlas AS9100 Quality System Atlas Safety Handbook Basic Blueprint reading Efficient in Microsoft Office; including Excel, Power-point & Word. Communication skills; phone, email Understands Supply Chain Principles

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3754-3736-11534
Job Location: Bensalem PA
Per Diem: --
Overtime: --
Start Date: 2022-05-12
Input Date: 05/08/2022
Last Updated: 11/22/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Megan giusti
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Construction Manager- Job ID 51178

Description:
We have an Excellent career opportunity for a Senior Construction Manager to join a leading Company located in the Charlotte, NC surrounding area.

Job Responsibilities:
Assists in predevelopment diligence, budgeting, and feasibility
Manages preconstruction and budgeting for new projects; Involved in permitting and zoning processes
Manages third party GC hard bid process
Assist in project design and manage third party consultants
Document plans, specifications, budgets, and contracts for all planned projects
Oversees pricing analysis/budgeting (for building and tenant improvement construction), RFI's, change orders, submittals, and testing reports
Manage multiple projects; track monthly progress and construction status report to equity partners
1x/monthly project visit (Carolinas)
Manage multiple industrial/civil projects 700K sqft- 1MM in build

Basic Hiring Criteria:
Bachelor's degree in construction management or a related field required
5+ years of related experience in field construction administration and estimating (industrial construction experience preferred); Direct management experience required
Experience working with an owner/general contractor
 
Job Number: 51178
Job Location: Charlotte, NC
Rate: 70.00
Per Diem: NO
Overtime: YES
Duration: Direct Hire
Start Date: ASAP
Input Date: 04/28/2022
Last Updated: 05/09/2022
Firm Name: TAD PGS INC
Attention: Maria Gomez
Address: 12062 VALLEY VIEW ST STE 108
City, State: GARDEN GROVE, CA 92845
Phone: 657/250-1893
800 Phone: 800/261-3779
Website: www.tadpgs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Continuous Improvement Engineer

Description:
Chipton-Ross is seeking a CI Engineer for an opening in Shawnee, OK.


RESPONSIBILITIES:
Assigned major industrial and/or manufacturing engineering accountability for comprehensive responsibilities including trouble-shooting manufacturing methods, processes, tooling, CNC programming, and ther activities that affect the assigned area.

REQUIREMENTS:
Able to identify key elements of processes to be managed visually.

Lead Value Stream Mapping initiatives that capture process steps, systems, hand-offs, interrupters to flow, waste, and misallocated resources.

Perform time studies for part families with negative margins.

Work with operators to create standard work based on time studies.

Standard work includes operator balance charts, standard combination sheets, activity of the product, process & operator.

Understands end to end supply chain concepts, theory of constraints & implementation of material replenishment strategies

Lead Kaizens & rapid improvement events to eliminate waste, reduce errors, & improve flow.

Accelerate adoption of employee ideas to increase engagement.


Apply mistake-proofing concepts to improve quality.

Deploy change management and management of change to minimize business disruption.

Perform set-up & change over activities to reduce bottlenecks.

Develop knowledge and leading practices sharing across the site.

Create model cells to deliver world class metrics sustainably.
Influence design and deployment of tier boards for visual enterprise.
Devise and maintain project tracking utilizing a wide variety of Microsoft suite tools

REQUIRED:
Degree in Engineering or Industrial Technology
PREFEERED:
Green or Black belt

EDUCATION:
Associates or Bachelor's degree preferred but not required in lieu of experience

SHIFT:
Full-Time
 
Job Number: 194552
Job Location: Shawnee, OK
Rate: $DOE
Input Date: 09/20/2021
Last Updated: 06/13/2022
Firm Name: CHIPTON ROSS
Attention: Dan Mulvihill
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Cyber Security Engineer

Description:
Hello! My name is Don Shell and I am a recruiter with 804 Technology. We are currently seeking two (2) Cyber Security Engineers for positions in Fort Worth, TX. Only candidates with an aerospace background will be considered for these positions. The job description and requirements are listed below.

Job Description:
You will be deployed to Bell product programs, to execute duties that support the products that Bell creates and procures. Make an impact by cross-functional integration of cyber systems and processes throughout the product lifecycle, while staying aligned with customer and regulatory requirements.

Duties may include but are not limited to:
• Deriving and allocating aircraft architecture design requirements, architectural analysis and design implementation
• Risk assessment and threat modeling.
• Compliance driven programs require DoDI 8510.01/8500.01 Risk Management Framework (RMF) certification for military platforms, and will include threat management, mitigation, and the implementation of all applicable controls.
• Serve as SME for various engineering functions and review/validate/remediate the impact all design changes to existing and in-development platforms.

A day in the life:
• Engage in the flight controls or avionics architecture development and influence design from the beginning with cyber security in mind.
• Conduct analytics of design options, identify risks and mitigation steps.
• Take ownership of the vulnerability analysis on our state-of-the-art System Integration Labs and aircraft.
• But more than anything, it’s about being open-minded and inquisitive about what’s possible to bring the most innovative ideas to life. We rise to the challenge, push past obstacles to create new solutions to the hardest problems, and open doors to new experiences few dream possible.

Qualifications
• Bachelor’s degree is required.
• Prefer Electronic Engineer (EE), Electronic Engineering in Technology (EET), Computer Science and/or Cyber Security degree.
• Minimum of five years of related experience.
• Secret Clearance is preferred, ability to qualify for Secret Clearance is required.
• Possess DoD 8570 IAM Level I certification (i.e. Security+ CE, CAP).

Prefer experience in the following:
• Strongly Prefer Product cybersecurity or safety in Aerospace (commercial or military), automotive or embedded systems
• Demonstrated ability to work in a collaborative technical environment and negotiate design and cyber security technical trade-offs with Integrated Product Teams (IPTs) and throughout the organization.
• Develop and communicate product cyber security requirements and perform residual risk assessments in accordance with client's product cyber security policies and methods.
• Model Based System Engineering
• Knowledge of military and civilian cyber security aircraft standards, including RTCA DO-326A and DO-356A.
• Strong logical, analytical, and communication skills.

Desired Skills:
• Working knowledge of aircraft systems (avionics, flight controls, mission systems)
• Experience with Risk Managed Framework (RMF) and/or Joint Special Access Program (SAP) Implementation Guide (JSIG) requirements.
• Experience and/or familiarity with attack trees, tabletops, threat modeling, architectural design analysis, implementing cryptographic solutions, digital signing, software authenticity, root of trust systems, plus threat and vulnerability analysis.
• Demonstrated ability to create plans, procedures, and briefing material(s) for program presentations to USG.
• Familiarity around Critical Program Information Assessments (CPIA) for identifying Critical Program Information (CPI), Critical Functional Analysis (CFA), and Anti-Tamper


804 Technology is an equal opportunity employer.

All qualified applicants will receive consideration for employment without regard to age, race, color, religion, sex, sexual orientation, gender identity, disability or national origin.

Don Shell
804 Technology
Technical Recruiter
C. 817-800-0935
dshell@804technology.com
www.804technology.com
 
Job Number: 12966327
Job Location: Fort Worth, TX
Rate: $70.00 to $95.00
Per Diem: Split
Overtime: Possible
Duration: 11 months
Start Date: ASAP
Input Date: 06/28/2022
Firm Name: 804 TECHNOLOGY
Attention: Don Shell
Address: 5381 HIGHWAY N STE 201
City, State: COTTLEVILLE, MO 63304
Phone: 636/928-0330
Fax Phone: 636/530-3693
Website: www.804technology.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Data and Controls Test Engineer

Description:
PDS Tech is currently seeking a Data and Controls Test Engineer for our client in Santa Cruz, CA

Overview

We are seeking a motivated individual to join our Testing team to develop the data acquisition, controls and hardware/software interface tools needed to perform and automate structural, environmental, and functional tests of flight-critical components and subsystems for VTOL electric aircraft. The data collected during these tests will inform the company’s hardware design efforts and pave the way to production-ready hardware. The team is made up of extremely talented, hardworking, proactive, and hands-on individuals, operating in a very fast-paced and rewarding environment. We are looking to expand this team with like-minded individuals looking to make a mark in the transportation industry.

Responsibilities
Work in a small team to develop a test software ecosystem that allows for automation of new and existing testing platforms, using NI LabView and a variety of industry and proprietary platforms.
Develop instrumentation and controls hardware, test fixture GUI, LabView VIs and any other necessary tools to enable test execution and automation.
Specify, review, and incorporate new types of data acquisition instruments into the data pipeline.
Analyze data collected during the tests to generate reports to provide quantifiable feedback to the engineering teams that will allow them to optimize their designs.
Help to guide the architecture of testing software to help smooth the transition from R&D testing into manufacturing acceptance testing.
Qualifications - External
Required
B.S. in Electrical or Computer Science Engineering or relevant discipline
5+ years of relevant work experience
Experience with data acquisition hardware such as ammeters, foil strain gauges, accelerometers, load cells, thermistors, transducers, etc. (including sensor installation)
Fluent in using LabVIEW for data collection, automation, and control
Experience using LabView for data collection and Diadem/MATLAB for data processing
Experience using scripting languages to build and integrate complex software systems
Excellent problem solving and communication skills
Hands-on attitude with strong analytical background
Pursuant to various local, state, and federal regulations, you must show proof of your full COVID-19 vaccination status prior to your start date. Exemption requests are available for bona fide religious and medical circumstances.
Desired
M.S./Ph.D. in relevant discipline
Experience with industrial test laboratory environments and procedures
Experience with NI TestStand & Knowledge of NI Hardware
Hands-on machine shop skills using lathe, mill, welder, and other machine shop equipment
Experience with high voltage electronics.
Experience testing aircraft composite structures.
Experience testing aircraft mechanical systems.
Aerospace and rapid prototyping experience
Experience working with LabVIEW Real Time for control and data acquisition
 
Job Number: 2210150907
Job Location: Santa Cruz, CA
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 6 mos
Start Date: ASAP
Input Date: 05/06/2022
Last Updated: 07/13/2022
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Joe Cairney
Address: TIME SQUARE 660 BUILDING
660 SW 39TH ST STE 215

City, State: RENTON, WA 98057
Phone: 206/763-2840
800 Phone: 800/678-8644
Fax Phone: 206/763-3283
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Defense/Aerospace CNC Programmer

Description:
A Senior CNC Programmer job is currently available at Belcan in Wichita, KS. This is a full time, direct position with Belcan and comes with full benefits. Great matching candidates will have several years of CNC Programming and hands on experience in a shop floor & assembly environment. You will be a vital team member on large and medium size programs and projects, presenting information as needed, and ensuring critical customer needs are met. Programmers are needed for Hard & Soft Metals as well as Composites.

* Because this project is in support of a Department of Defense project, it will be necessary to have or have ability to obtain a DoD Security Clearance. If you do not have a clearance, we can obtain one for you after hire*

Senior CNC Programmer Job Duties:
* Provide numerical control, machining, and programming technical expertise to support 4 / 5 axis machining and turning NC programs
* Develop programs from model-based definition using assigned CAM software: CATIA and NX
* Utilize Vericut validation software for NC program
* Analyze blueprints, engineering models, and electronic controls to support NC programming
* Work with CNC machine operators to optimize or modify programs as required
* Plan, create, verify, and revise machine control data and documentation that directs the operations for numerically controlled machines
* Develop a tooling list for each program
* Assist, edit, troubleshoot, and resolve needs within the manufacturing process, various APQP processes, package and relocation support, supply chain, CNC machines and programming, cutting tools, components and parts, unique parts, scrap, etc.

Core Job Qualifications:
· Five+ years of relevant work experience as a CNC Programmer/ Manufacturing Engineer.
· Proficient CATIA knowledge; we will consider experience with other CAM software (NX)
· Experience and working knowledge of manufacturing process, assembly, and fabrication process.
· Experience with aerospace, heavy equipment, industrial equipment, and/or automotive industries.
· Experience creating and updating technical documents, reports, and standards.
· Excellent oral and written communication skills.
· Extensive understanding of product engineering concepts and applications.
· Ability to be a self-starter with potential for technical leadership and guidance.
· Strong analytical reasoning and problem-solving skills.
· Ability to communicate technical information effectively with team members, as well as to managers and engineers in client business units.
. Ability to work directly with shop floor operators for tryout and process improvement.
. Due to the nature of the work US Citizenship is required

Desired but not required skills:
· Mechanical aptitude and an understanding of design for manufacturing concepts.
· Hands on experience in the shop/manufacturing environment
· Understanding of Mechanics of Materials and Structural Design.
· Knowledge of CAD Modeling (2D, 3D).
· Proficiency in MS Office products
· Experience with Cost reduction.
· Knowledge and experience with application of Six Sigma, lean manufacturing, Kaizen, etc.

If you are interested in this CNC Programmer job Wichita, KS please apply via the 'apply now' link provided. As an employee with Belcan Engineering, you will be part of one of the largest engineering firms in the United States. We maintain a small-company atmosphere as well as open communication at all levels of our organization, allowing for much more dynamic decision-making processes. We offer flexible schedules as well as an excellent mentoring system to ensure that you have all of the knowledge and tools you need to meet a diverse range of engineering challenges. You will also have opportunities to advance to positions of greater responsibility, including management roles. Your hard work and professional dedication will be rewarded with a competitive compensation package.

Belcan is an equal opportunity employer. EOE/M/F/D/V
Build a challenging and rewarding career with an industry leader!

www.BELCAN.com
 
Job Number: 320941
Job Location: Wichita, KS
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 03/05/2022
Last Updated: 05/19/2022
Firm Name: BELCAN TECHSERVICES
Attention: KennetaFabing
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
EHS Manager

Description:

If you are looking for a challenging opportunity that will ignite your passion for designing cool and innovative products, are exceptionally creative, are a great problem solver, and can make things happen - apply today!

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

JOB DESCRIPTION FOR EHS MANAGER:-DIRECT HIRE

What we are looking for:

EHS Manager will need to focus his/her time developing programs and addressing noncompliance issues outlined in the Road Map

- Manages the environmental, health, and safety management system ensuring employee safety and well-being, reducing company risk/liability and focusing on overall stewardship for company and community EHS priorities
- Evaluates operations, equipment (new and existing) and practices for environmental health and safety compliance with regulatory and ABL standards
- Administer and maintain Safety compliance programs such as LOTO, Hazard Communication, EAP, Hearing Conservation, PPE, Hot Work, Confined Spaces, Respiratory Protection, BBP, etc.
- Complete regulatory required inspections, audits, and reporting
- Monitor the site to ensure it is operating in compliance with OSHA, EPA, and DOT regulations
- Establishes and implements environmental, health, safety and industrial / occupational hygiene compliance programs.
- Prepare and submit reports to federal and state and local regulatory agencies as required
- Create, update, and coordinate emergency response plans (HMBP) with state and local emergency planning committees
- Provide EHS functional leadership and partner with manufacturing/engineering/test teams and others as needed, to ensure environmental and regulatory compliance
- Serve as the principal Emergency Coordinator and respond to on-site emergencies involving people, products or waste
- Direct responsibility for completing and submitting all required regulatory reporting for air emissions, toxic chemicals, waste disposal, storm water discharges and hazardous materials reporting
- Manage and maintain compliance programs and reporting for air (NESHAP, AER), waste (RCRA, HWR), water permits and authorizations (NPDES, SWPPP), SPCC, TRI and Tier II reporting, and lead sustainability initiatives
- Lead execution of a strong CAPA (Corrective Action / Preventive Action) and Root Cause Analysis program that drives site improvement in Safety and Environmental Health
- Manages EHS Training by developing, administering and/or facilitating necessary training modules to ensure that training personnel and employees understand and can execute established procedures while meeting all EPA, OSHA and DOT requirements
- Manage and track KPIs with leading indicators of performance; partner with the site to establish goals and improvement targets and drive initiatives to achieve those goals
- Coordinate with stakeholders, functional or operation leaders as necessary over the course of the project
- Develop and maintain policies and documentation at the site level related to corporate EHS program

What you bring:

- This individual will have strong collaboration skills and the ability to partner with all functional areas
- BS degree required in Occupational Health & Safety; Chemical, Mechanical, Environmental, or Safety Engineering or Science related field or equivalent experience
- 7+ years of experience in Environmental, Health & Safety management including Industrial Hygiene
- 5+ years of experience in a manufacturing work environment; preferably aerospace
- Extensive knowledge of occupational safety regulations and policies
- Knowledge of EHS software systems.
- Proficient in Microsoft Office Suite, with intermediate to advanced Excel skills
- Excellent written and verbal communication skills; ability to communicate technical requirements and data to others that do not have the same expertise or experience
- Excellent listening skills and ability to understand and interpret business needs to define communication requirements for a variety of audiences
- Strong initiative and self-starter; ability to prioritize and multiple tasks and deadlines
- Strong analytical skills, excellent troubleshooting skills and ability to conduct root cause analysis
Must have the ability to lead and manage project teams; set clear goals and objectives and drive performance results

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2819-2803-11534
Job Location: El Segundo CA
Per Diem: --
Overtime: --
Start Date: 2022-04-14
Input Date: 01/29/2022
Last Updated: 01/25/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Elle King
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
EHS Manager

Description:

If you are looking for a challenging opportunity that will ignite your passion for designing cool and innovative products, are exceptionally creative, are a great problem solver, and can make things happen - apply today!

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

JOB DESCRIPTION FOR EHS MANAGER:-DIRECT HIRE

What we are looking for:

EHS Manager will need to focus his/her time developing programs and addressing noncompliance issues outlined in the Road Map

- Manages the environmental, health, and safety management system ensuring employee safety and well-being, reducing company risk/liability and focusing on overall stewardship for company and community EHS priorities
- Evaluates operations, equipment (new and existing) and practices for environmental health and safety compliance with regulatory and ABL standards
- Administer and maintain Safety compliance programs such as LOTO, Hazard Communication, EAP, Hearing Conservation, PPE, Hot Work, Confined Spaces, Respiratory Protection, BBP, etc.
- Complete regulatory required inspections, audits, and reporting
- Monitor the site to ensure it is operating in compliance with OSHA, EPA, and DOT regulations
- Establishes and implements environmental, health, safety and industrial / occupational hygiene compliance programs.
- Prepare and submit reports to federal and state and local regulatory agencies as required
- Create, update, and coordinate emergency response plans (HMBP) with state and local emergency planning committees
- Provide EHS functional leadership and partner with manufacturing/engineering/test teams and others as needed, to ensure environmental and regulatory compliance
- Serve as the principal Emergency Coordinator and respond to on-site emergencies involving people, products or waste
- Direct responsibility for completing and submitting all required regulatory reporting for air emissions, toxic chemicals, waste disposal, storm water discharges and hazardous materials reporting
- Manage and maintain compliance programs and reporting for air (NESHAP, AER), waste (RCRA, HWR), water permits and authorizations (NPDES, SWPPP), SPCC, TRI and Tier II reporting, and lead sustainability initiatives
- Lead execution of a strong CAPA (Corrective Action / Preventive Action) and Root Cause Analysis program that drives site improvement in Safety and Environmental Health
- Manages EHS Training by developing, administering and/or facilitating necessary training modules to ensure that training personnel and employees understand and can execute established procedures while meeting all EPA, OSHA and DOT requirements
- Manage and track KPIs with leading indicators of performance; partner with the site to establish goals and improvement targets and drive initiatives to achieve those goals
- Coordinate with stakeholders, functional or operation leaders as necessary over the course of the project
- Develop and maintain policies and documentation at the site level related to corporate EHS program

What you bring:

- This individual will have strong collaboration skills and the ability to partner with all functional areas
- BS degree required in Occupational Health & Safety; Chemical, Mechanical, Environmental, or Safety Engineering or Science related field or equivalent experience
- 7+ years of experience in Environmental, Health & Safety management including Industrial Hygiene
- 5+ years of experience in a manufacturing work environment; preferably aerospace
- Extensive knowledge of occupational safety regulations and policies
- Knowledge of EHS software systems.
- Proficient in Microsoft Office Suite, with intermediate to advanced Excel skills
- Excellent written and verbal communication skills; ability to communicate technical requirements and data to others that do not have the same expertise or experience
- Excellent listening skills and ability to understand and interpret business needs to define communication requirements for a variety of audiences
- Strong initiative and self-starter; ability to prioritize and multiple tasks and deadlines
- Strong analytical skills, excellent troubleshooting skills and ability to conduct root cause analysis
Must have the ability to lead and manage project teams; set clear goals and objectives and drive performance results

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2818-2802-11534
Job Location: Long Beach CA
Per Diem: --
Overtime: --
Start Date: 2022-04-14
Input Date: 01/29/2022
Last Updated: 01/25/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Elle King
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Design Engineer 3

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Our engineers share a passion to redefine what's possible. To turn dreams into reality. To bring cutting edge technology to market. If you are seeking a dynamic, innovative environment, this opportunity is for you!
Join Production Engineering in building our next generation of amazing products. Each day, you'll work alongside experts in production, quality, design, and supply chain roles to guide aircraft from design to delivery and beyond. Bring your ideas and help shape the future of aerospace manufacturing.

Commercial Airplanes is seeking a CONTRACTOR Mid-Level Equipment & Tool Engineers to support 777, 777X, and Fabrication programs within the Puget Sound region. A successful candidate in this role will have passion, creativity, and an insatiable desire for process improvement and efficiency. They will also have a strong desire to be out in the factory, interacting with mechanics, and directly supporting the production system. In Production Engineering, we need highly responsible professionals committed to upholding the highest standards of safety, quality, and integrity.
In locations where SPEEA representation applies, this job family will be covered by the terms of the collective bargaining agreement. Applicable and appropriate educational/certification credentials from an accredited institution and/or equivalent experience is required.
Work Location/Building: EVERETT, WA / AUBURN, WA / PUYALLUP, WA
HOW WILL LOCATION PLACEMENT BE DETERMINED? Will depend on business need

- SUPPLIERS: note the candidates location preference on the top of their resume.
Remote, onsite or a combination of both? Combination onsite and remote (3+ days on site)

Are you okay with out-of-state candidates?: YES must relocate at their own expense

Does this position have any physical requirements/limitations? - Required to be on the shop floor be able to walk around and climb stairs.

Primary Responsibilities:
-Electrical Controls: Program, integrate, and/or troubleshoot equipment, including but not limited to:Programmable Logic Controllers (Numerical Controls (Human Machine Interfaces
-Work in a cross-functional team alongside specialists from manufacturing, quality, safety, post-production services, product development, suppliers, and vendors
-Equipment and Data Acquisition for reliability
-Cisco Router validation and integration of MOXA router
Additional Skills:
Basic Qualifications (Required Skills/ Experience):
Minimum 3 years of experience working in an engineering discipline
3 or more years of experience with production systems in a manufacturing or engineering capacity
PLC, CNC, HMI, or robot programming, commissioning, and maintaining experience in automation industry

Preferred Qualifications (Desired Skills/Experience):
2 or more years of experience working to industry standards including compliance with electrical and safety codes
2+ years of experience working with hardware and/or software configuration management
2+ years working with network integration of industrial equipment
Equipment Procurement
Project Management Experience
Technical Writing
Requirements Gathering
Experience using basic Microsoft Office and office computing systems
Ability to learn in a fast paced environment
Ability to collaborate with both the engineering work force and the mechanics on the factory floor

Education requirements please make sure all submitted candidates have a Bachelor of Science degree or higher in engineering, computer science, mathematics, physics or chemistry. If they do not meet this requirement, please withdraw them from consideration ASAP
Typical education/experience:
Degree and typical experience in engineering classification:
o Bachelor's and 7 or more years' experience
o Master's with 5 or more years' experience
o PhD with 3 or more years' experience
What are the Top 3-5 Technical/Software Skills needed to perform this role/job?:
Airplane Configuration
Analyze & Interpret Engr Data
Assembly Process
Calibration Measurement
Computer Aided Design Tools
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 5 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD, Master+3 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.
-Work with Network Integration on equipment; includes root cause corrective action, regression testing, development of key performance indicator dashboards, and work with asset tag - feedback/ data collection

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3127-3111-11534
Job Location: Everett WA
Per Diem: --
Overtime: --
Start Date: 2022-06-07
Input Date: 03/02/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Researches, develops, designs, and tests electrical components, equipment, systems, and networks for commercial, industrial, and domestic purposes.

Job Description:
Research, development, design, and test of electrical components, equipment, systems, and networks for underwater vehicles and systems. This job will involve taking an individual from the initial concepts and architecture of a submersible system to the final test and delivery of the product. The focused products we develop are submersible vehicles ranging from remotely operated (ROVs) to autonomously controlled (AUVs) to manned submersibles. Our engineers are exposed to many design, development, and testing aspects of various undersea vehicles and systems. The engineer will be responsible for supporting the design, production, and test through the life cycle. The position requires research, design, development, and testing of complex electrical designs including electrical analysis, hands-on testing and troubleshooting, and formal documentation of the electrical design. Many programs that will be worked on require broad electrical support, including issue resolution to distributed I/O architectures, RF systems, remote test station equipment, power distribution systems, and fiber optic interconnects. Work across disciplines during integration and test activities is required. Flexibility to support scheduled and unscheduled weekend and off-shift hour as well as travel for field support as needed.
Additional Sills:
Skills:
Category
Name
Required
Importance
Experience
Areas of Expertise Circuit Design Yes 1 4 - 6 Years
Areas of Expertise Electrical Troubleshooting Yes 1 4 - 6 Years
Areas of Expertise Vehicle Architecture No 4 1 - 2 Years
Technical Skills Electronic Testing Yes 1 4 - 6 Years
Technical Skills Electronics Yes 1 4 - 6 Years

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3071-3055-11534
Job Location: Riviera Beach FL
Per Diem: --
Overtime: --
Start Date: 2023-03-22
Input Date: 02/24/2022
Last Updated: 04/26/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Andrew Lowden
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical-Mechanical Designer

Description:
A Designer job in Phoenix, AZ is currently available at Belcan. To be considered for this role, you will have an Associates degree in Computer Aided Design or related degree, and five to ten years of experience designing components using Creo, with previous Aerospace experience strongly preferred. In this role, you will perform design tasks to include electrical & mechanical design, drafting, and redline incorporations.

Designer Job duties:
*Create and revises complex Electrical & Mechanical design concepts, layouts, drawings by investigating and analyzing customer specific problems. Designs will include cable designs, electrical schematics, electrical interconnect drawings, electrical cable routings, electrical housing designs among other electrical/mechanical design needs.
* Assures that mechanical cable parts and assemblies will fit or interface properly within limits or allowable tolerances without interference.
* Maintains records, files, drawings, part lists, specifications, standards or other data essential to the completion of drawings as required.
* Create specifications and models for OEM customer off the shelf components for use in electrical and mechanical designs.
* Verifies own completed work checking mechanical layouts, making mathematical calculations as required using handbooks and catalogs in support of drafting calculations, materials used and quantities.

Qualifications:
*Requires Associates in Computer Aided Design, or similar degree with 2+ years of experience.
*Must have demonstrated communication and interpersonal skills ensuring positive customer relations.
*Must have demonstrated ability on AutoCAD platform to develop design concepts. Previous experience with Creo Parametric & Windchill PLM is desired.
*Knowledge of electrical design concepts with experience of integration between electrical and mechanical Designs.
*Beneficial to have knowledge of mechanical design concepts with Geometric Dimensioning and Tolerancing (GD&T).
*Beneficial to have demonstrated abilities with Creo Schematics.
*Beneficial to have demonstrated abilities with Creo surfacing.
*Beneficial to have prior leadership experience.
*Beneficial to have demonstrated abilities with Revit.

If you are interested in this Designer job in Phoenix, AZ, please apply via the 'apply now' link provided. Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services-from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for nearly 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity.

 
Job Number: 315659
Job Location: Phoenix, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 08/25/2021
Last Updated: 02/08/2023
Firm Name: BELCAN TECHSERVICES
Attention: JosephKlont
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic Bench Technicians (PFE Program)

Description:
STS Technical Services is hiring Electronic Bench Technicians in Atlanta, Georgia.

Job Summary:
Performs maintenance including but not limited to inspection, test, and repair of aircraft avionic/electronic components in an avionics bench repair environment in accordance with approved manuals and methods.
Performs repairs to the component level (e.g., transistor, resistor, capacitor, etc.) replacement.
Performs appropriate computer functions and provides documentation to ensure that maintenance records, parts tracking and costs may be accurately recorded.
Must adhere to all of our client’s policies and standards.
Ensures a safe, clean and compliant work environment is maintained.
Practices safety-conscious behaviors in all operational processes and procedures.
Qualifications:
Must be COVID-19 vaccinated, have 1st COVID-19 vaccination shot or willing to take COVID-19 vaccination.
Must be able to read and write English and be able to comprehend and apply information contained in technical manuals.
Must have a high school diploma or GED equivalent.
Must have a valid driver’s license.
Must be at least 18 years of age.
Must be able to pass an extensive background check and drug test.
Must be authorized to work in the US.
Have the ability to work a flexible schedule including rotating or fixed shifts, weekends and holidays.
Must be willing to work rotating or fixed shifts.
Must achieve a satisfactory score on the mechanical aptitude test which is structured to determine the ability to perform essential job functions.
Should be able to meet the physical requirements which qualify a person to perform essential job functions, including the ability to lift up to 70 pounds.
Must have at least two years of bench avionics/electronics component repair experience or a minimum of 21 months of academic training specializing in avionics/electronics component repair.
Must pass Avionics Evaluation Test administered by Avionics management that measures the ability to read electronic schematics, identify electronic components, discuss electronic circuit operation and operate analog and digital meters.
Embraces diverse people, thinking and styles
Consistently makes safety and security, of self and others, the priority
Preferred Qualifications:
FCC license is preferred.
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 39972
Job Location: Atlanta, GA
Input Date: 06/28/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Jennifer Flintrop
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Environmental Health and Safety Specialist

Description:

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Your Mission
    Develops, implements, and evaluates safety policies, procedures, and programs to reduce work-related accidents, eliminate potentially hazardous conditions and control financial losses Responsible for assigned existing and on-going safety and environmental programs such as Hazard Communication, Hearing Conservation, Respiratory Protection, Hazardous Waste, Investigates causes of accidents and recommends changes in procedures, equipment usage, and/or work environment to correct problems and prevent recurrence Recommends and reviews results of corrective actions Participates in regular safety meetings with company employees to discuss potential environmental and/or safety problems, interpret procedures and discusses other safety-related issues Performs liaison function with outside agencies such as the EPA, Cal-OSHA, and County Agencies Operates, maintains and calibrates sophisticated environmental monitoring and sampling equipment Performs required industrial hygiene sampling Evaluates new equipment and processes Inspects equipment, tools and work aides for proper and safe operation and recommends removal from service for required repairs or modifications as necessary Research regulations, standards and codes, and coordinates compliance with federal, state and local environmental legislation Performs job site inspections to ensure safe working conditions, detect potential problems and rate potential problems according to factors such as probability and potential severity of injuries Develops and conducts employee training programs on safe work practices, first aid, fire procedures, and other environmental and safety related issues Conducts individual employee training as needed Maintains training records on Environmental, Health and Safety related matters Designs conventional and computerized record keeping systems May operate company vehicles Regular and reliable attendance and performance are required
What you bring
Knowledge of:
    Federal, state, county, local, OSHA, O.T and EPA requirements and industry standards Proper courses of action to prevent or reduce accidents Modern and innovative approaches to health and safety management Training techniques, training program development, development of procedures and manuals, and techniques of implementing and promoting programs in a multifaceted organization
Ability to and Skill in:
    Organize work, manages multiple priorities, and meet deadlines Identify needs and develop programs and work procedures to promote employee safety and occupational health Conduct investigations and prepare reports on safety-related issues Instruct and motivate employees in a positive effective manner Develop and maintain constructive professional working relationships with all encountered in the course of work Communicate effectively both orally and in writing with state and federal agencies, all levels of employees, and industry working groups Use advanced audio/visual equipment Proficiently use personal computer and applicable software (Microsoft Office, Excel, Access Database, and Power Point)
Minimum Qualifications Education and/or Experience: College level training and experience equivalent to:
    Bachelors' degree with major course work in occupational health and safety, industrial hygiene, environmental compliance, hazardous materials management, or closely related A Masters' degree in a position related field may be considered in lieu of one year experience. Position related experience may be considered on a case-by-case basis in lieu of degree Two years recent full-time position related experience in the field of occupational health and safety, including the planning and execution of safety program elements, accident investigation and equipment inspection
Required Licenses/Certifications:

Must possess and maintain a current, valid California driver's license and satisfactory driving record

CPR and First Aid Certified or ability/willingness to quickly get

Trainer for Lift Trucks/Scissor Lifts certified/able to be certified to train operators

Professional certifications achieved or in progress such as ASP/CSP/OHST etc. desirable but not required

Physical Requirement:

Use personal protective equipment such as gloves, hardhat, safety glasses or goggles, hearing protection, respirators and fall protection equipment. Able to walk, stoop, bend and subject to hot/dusty locations perform inspections. Climbing stairs or ladders and work on elevated heights, with appropriate protective equipment. Ability to lift 25 pounds occasionally.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3536-3518-11534
Job Location: Mojave CA
Per Diem: --
Overtime: --
Start Date: 2022-05-10
Input Date: 04/21/2022
Last Updated: 11/23/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Megan giusti
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Facilities Equipment Engr 4

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Leads project teams to develop complex specifications, conceptual and final designs, cost estimates and provides maintenance support for the procurement or modification of equipment systems (e.g., ovens, autoclaves, furnaces, tank lines, etc.). Reviews specifications and/or designs to assess compliance with customer requirements, codes and applicable regulations by applying knowledge of process engineering, practices, and materials and takes appropriate corrective action. Participates on or leads cross-functional teams to integrate the activities of multiple engineering disciplines, outside consultants and government agencies.Leads teams to perform complex conceptual analysis to determine the cause of asset failures and reduction in asset capacity by using reliability tools and methods (e.g., life cycle analysis, condition based maintenance, root cause failure methodology, mean time between failures, fault tree analysis, etc.). Leads others in engineering and facilitating the implementation of complex improvements in asset effectiveness, availability, and maintainability to specify configuration of new assets in order to support reliability programs. Performs and documents condition code analysis of complex equipment as part of a team. Reviews records, inspects, measures, and tests to document assets operating and maintainability condition for the purpose of determining whether to continue to operate, repair, surplus, or replace. Assists in the development of equipment condition coding processes and standards. Controls hardware, software and documentation configuration of complex equipment in accordance with company procedures and legal requirements to ensure a maintainable, repeatable process. Assists in the development configuration management policies and processes. Creates cost estimates for the acquisition and/or installation or modification of complex equipment to support customer budget and business planning activities for current and future year requirements. Assists in the development of cost estimating policies and processes. Creates, reviews and approves complex equipment designs through the application of engineering methodologies for the acquisition, creation, or modification of equipment. Designs will meet the stakeholders'' validated specifications, requirements, and/or functions, including adherence to applicable legal requirements. Incorporates maintainability and reliability principles into all designs. Ensures the integration of equipment with related infrastructure. Assists in the development of design standards and tools. Creates, reviews, revises, maintains, and organizes equipment documents and drawings for complex equipment in accordance with company procedures and legal requirements. Assists in the development of documentation standards. Develops, approves, and implements the testing and acceptance of complex equipment to validate that equipment performance meets the documented specifications. Documents results for historical record. Assists in the development of equipment testing and acceptance standards. Utilizes project management tools to plan, manage, and execute multiple major/complex projects by leading a team of stakeholders in the acquisition and modification of equipment to enable the customer to achieve the defined business objectives in accordance with company and accounting policies as applied to equipment. Manages project expenditures within authorized budgets. Creates, maintains, and communicates project management information to Sr. management. Assists in the creation of contract scope, terms, and conditions. Ensures suppliers comply with all contract obligations. Develops and recommends equipment reliability improvements through the application of reliability engineering tools to ensure that equipment operational and maintenance processes are effective and efficient for operations customers. Improves existing equipment reliability. Ensures that new equipment designs address maintainability and reliability principles. Provides technical recommendations and solutions by investigating, evaluating, interfacing with suppliers and stakeholders, troubleshooting and responding to maintenance/operator questions related to complex equipment. Assists in the development of equipment reliability policies and processes. Develops, reviews and approves bid and procurement specifications for complex equipment by teaming with cross functional subject matter experts and applying engineering methodologies for the acquisition or modification of equipment which will meet the stakeholders'' requirements. Incorporates maintainability and reliability principles into all specifications. Assists in the development of equipment specification standards. Researches technologies, processes, materials, and methodologies related to complex equipment by attending educational events, reading technical publications, reviewing emerging trends, and studying historical data in order to identify opportunities for improvement in current and future projects. Mentors and shares learning with peers. Advises stakeholders on technology developments relevant to technical and business strategies. Identifies and assists in developing strategies and tactics to address market dynamics of our suppliers and their products. Trains and/or assists in the development and delivery of training of operators, maintenance personnel and others in order to transmit information necessary to correctly operate and maintain complex equipment. Delivers training for new or modified equipment, changes in process, changes in personnel, and/or as periodically required by company policy or legal requirement.

Leads project teams to develop complex specifications, conceptual and final designs, cost estimates and provides maintenance support for the procurement or modification of equipment systems (e.g. metal finishing tank lines (chemical process dip tanks, rinse tanks, spray tanks, dryers), industrial waste water plant, scrubbers, sparge air blowers, exhaust fans, pumps, heat exchangers, piping systems, chemical transfer lines, tank heating and cooling systems, rectifiers, etc.).
Trains and/or assists in the development and delivery of training of operators, maintenance personnel and others in order to transmit information necessary to correctly operate and maintain complex equipment. Delivers training for new or modified equipment, changes in process, changes in personnel, and/or as periodically required by company policy or legal requirement.
Role is onsite NO remote option. Will be onsite at Auburn WA site.
Travel may only include driving between two sites, around 20-25 miles each way. Auburn to Puyallup site.
Training will begin onsite. Successfully completing training is a contingency for this assignment.
Additional Skills:
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 9 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD+4 years' related work experience, Master+7 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3642-3624-11534
Job Location: Auburn WA
Per Diem: --
Overtime: --
Start Date: 2022-09-12
Input Date: 04/21/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Facilities Plant Engineer 3

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Develops moderately complex conceptual designs, final designs, cost estimates and provides maintenance support for the architectural phases of buildings, equipment installations, utility systems and grounds. Reviews architectural designs to assess compliance with customer requirements, building codes and applicable regulations by applying knowledge of construction principles, practices, and materials. Communicates problems and issues to others for resolution. Integrates the activities of multiple engineering disciplines, outside consultants and government agencies. Develops moderately complex conceptual designs, final designs, cost estimates and provides maintenance support for the civil/structural phases of buildings, equipment installations, utility systems and grounds. Reviews civil/structural designs to assess compliance with customer requirements, building codes and applicable regulations by applying knowledge of construction principles, practices, and materials. Communicates problems and issues to others for resolution. Develops moderately complex conceptual analysis to determine the cause of asset failures and reduction in asset capacity by using reliability tools and methods (e.g., life cycle analysis, condition based maintenance, root cause failure methodology, mean time between failures, fault tree analysis, etc.). Facilitates the implementation of moderately complex improvements in asset effectiveness, availability, and maintainability; to specify configuration of new assets in order to support reliability programs. Communicates problems and issues to others for resolution. Develops moderately complex conceptual designs, final designs, cost estimates and provides maintenance support for the electrical phases of buildings, equipment installations, utility systems and grounds. Reviews electrical designs to assess compliance with customer requirements, building codes and applicable regulations by applying knowledge of construction principles, practices, and materials. Integrates the activities of multiple engineering disciplines, outside consultants and government agencies. Develops moderately complex conceptual designs, final designs, cost estimates and provides maintenance support for the mechanical phases of buildings, equipment installations, utility systems and grounds. Reviews mechanical designs to assess compliance with customer requirements, building codes and applicable regulations by applying knowledge of construction principles, practices, and materials. Ensures accuracy of drawing archives/libraries by reviewing contractor redlines. Identifies errors and documents construction changes to comply with regulatory requirements, facility standards, and record retention requirements. Manages or supports construction projects by providing input on vendor selection; reviews contractor proposals; interfaces with government/regulatory agencies; monitors project progress and vendor/consultant performance. Prepares and presents project information to ensure compliance with applicable construction documents and jurisdictional requirements and to meet project objectives.Produces or procures detailed design documents. Uses appropriate resources to produce project drawings, specifications, and permit application packages in accordance with project parameters. Coordinates designs. Reviews and approves documents. Translates customer requirements into design options with documents and estimates. Identifies appropriate materials, equipment, and services by applying engineering principles and methodology. With limited supervision, develops and updates design standards and site-specific operating procedures in order to establish common practices. Provides input to strategic, short-term, and long-term infrastructure planning; completes studies; analyzes current conditions and future requirements; recommends capital improvements; gathers and analyzes data; and works with both internal and external customers in order to identify and assess alternatives and impacts. Translates customer requirements into conceptual design by analyzing intended use/occupancy and desired aesthetics, conducting code research, developing preliminary design elements, determining interdisciplinary engineering support requirements, estimating resource costs/requirements, identifying materials, equipment, and services, and developing initial project schedule in order to establish project scope in response to customer requirements. Plans, manages, and executes projects. Leads a team of stakeholders in the acquisition and modification of assets to enable the customer to achieve the defined business objectives in accordance with company and accounting policies. Manages project expenditures within authorized budgets. Creates, maintains, and communicates project management information. Assists in the creation of contract scope. Ensures suppliers comply with all contract obligations.Interprets and analyzes applicable regulations, standards, codes, and ordinances with respect to project requirements. Offers project alternatives in order to assure compliance. Provides support, as required, to secure permits.
Skills
Ability to read, understand, and interpret engineering drawings and specifications (e.g., blueprint/schematic reading, specification, change, design).
Knowledge of facilities requirements analysis, facilities planning, facilities design standards and specifications, building codes, and facilities evaluation techniques and methodologies in support of product planning, deployment and on-going support.
Knowledge of relevant government laws and regulations impacting the functional responsibilities of the occupation.
Ability to utilize technological tools (e.g., PC's, web sites) and business system applications to manage, synthesize, and interpret data relevant to workloads, responsibilities, problem identifications and resolutions. Ability to operate relevant personal computing hardware (e.g., personal computers, software, printers, storage media) and standard software (e.g., word processing, graphics packages, spreadsheets/databases).
Knowledge of mechanical engineering theories (e.g., compressible/incompressible fluid flow, Bernoulli's Theorem, thermodynamics, control theory, Mohr's theory of stress) and methods/formula selection (e.g., vibration analysis, seismic analysis, fan laws, load calculations, heat transfer, flow, air/water balancing, root cause analysis, pressure drop).
Knowledge of applicable mechanical engineering regulations/standards (e.g., OSHA, CALOSHA, WISHA, American Society of Mechanical Engineers, American Society Heating, Refrigeration and Air Conditioning Engineers, American National Standards Institute, National Fire Protection Association Standard, Underwriters Laboratory Testing Lab), codes (e.g., Uniform Building Code, Uniform Mechanical Code, Uniform Plumbing Code), and ordinances (e.g., local building code amendments, energy code).
Knowledge of mechanical systems' (e.g., air conditioning, steam, piping, dust collecting, controls, refrigeration, water systems, industrial waste, fire suppression) capability, capacity, operating characteristics (e.g., temperature, pressure, flow, energy efficiencies), and constructability (e.g., space, interface, interference, accessibility).
Ability to use tools and applications in costing and sizing of small to large size projects.
Ability to interpret/develop policies, practices, processes, and procedures as they apply to technical documentation to ensure quality product delivery.
Ability to understand the big picture and the inter-relationships of all positions and activities in the system, including the impact of changes in one area on another area. This includes the ability to see and understand the inter-relationships between components of systems and plans, anticipate future events, and apply the principles of systems thinking to accelerate performance.
The ability to use established physical, mechanical, or scientific principles and perform appropriate tests to identify and solve problems encountered on the job. This includes the ability to locate and isolate the problem, identify possible solutions, and select approaches that are practical and effective.
Additional Skills:
PROJECT/SKILLS
Proj Sched & Resource Mgmt
Information Technology Fluency
Engr Knowledge/Comprehension
Enabling technology/Innovation & Invention
Engineering Drawings & Specs
Auto CAD fluency
Skills: Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3408-3390-11534
Job Location: Puyallup WA
Per Diem: --
Overtime: --
Start Date: 2022-05-04
Input Date: 04/02/2022
Last Updated: 02/01/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Facilities Plant Engineer 4

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

We are seeking a Senior Level Facilities Electrical Engineer (Level 4) CONTRACTOR to join our Engineering and Technology (E&T) team within Facilities and Asset Management.
You will lead project teams in electrical phases of buildings, equipment installations, utility systems and grounds. This will involve review of electrical designs to assess compliance with customer requirements, building codes and regulations by applying your expertise of construction principles, practices, and materials.
You will also have the opportunity to lead and impact the business decision making process by providing input to strategic, short-term, and long-term infrastructure planning.

Position Responsibilities:
Leads project teams to develops complex conceptual designs, final designs, cost estimates and provides maintenance support for the architectural phases of buildings, equipment installations, utility systems and grounds. Reviews architectural designs to assess compliance with customer requirements, building codes and applicable regulations by applying knowledge of construction principles, practices, and materials and takes appropriate corrective action.
Leads project teams to develops complex conceptual designs, final designs, cost estimates and provides maintenance support for the civil/structural phases of buildings, equipment installations, utility systems and grounds.
Leads teams to develop complex conceptual analysis to determine the cause of asset failures and reduction in asset capacity by using reliability tools and methods (e.g., life cycle analysis, condition based maintenance, root cause failure methodology, mean time between failures, fault tree analysis, etc.).
Leads others in engineering and facilitating the implementation of complex improvements in asset effectiveness, availability, and maintainability; to specify configuration of new assets in order to support reliability programs. Participates on or leads cross-functional teams to integrate the activities of multiple engineering disciplines, technicians, outside consultants and government agencies.
Leads team to ensure accuracy of drawing archives/libraries. Oversees review of contractor redlines. Provides oversight to identify errors and documents construction changes to comply with regulatory requirements, facility standards, and record retention requirements.
Leads teams that manage and support complex construction projects by providing input on vendor selection; reviews contractor proposals; interfaces with government/regulatory agencies; monitors project progress and vendor/consultant performance.
Oversees preparation and presentation of project information to ensure compliance with applicable construction documents and jurisdictional requirements and to meet project objectives. Leads in the production or procurement of detailed design documents. Uses appropriate resources to produce project drawings, specifications, and permit application packages in accordance with project parameters. Coordinates designs. Reviews and approves documents.
Leads in the translation of customer requirements to design options with documents and estimates. Identifies appropriate materials, equipment, and services by applying engineering principles and methodology. Develops and updates design standards and site-specific operating procedures in order to establish common practices. Participates in technical committees.
Analyzes current conditions and future requirements; recommends capital improvements; gathers and analyzes data; and works with both internal and external customers in order to identify and assess alternatives and impacts.
Leads in translation of customer requirements. Plans, manages, and executes large or complex projects. Leads a team of stakeholders in the acquisition and modification of assets to enable the customer to achieve the defined business objectives in accordance with company and accounting policies.
Start Time: 6-7 AM
o Team meeting at 8 am is required.
End Time: 2-3 PM
Basic Qualifications (Required Skills/Experience):
Bachelor of Science degree or greater in an engineering related field
5+ years of experience using AutoCAD (or similar CAD package) REQUIRED
5+ years of experience reading & understanding engineering drawings, blueprints, schematics, and specifications
Experience in the switching of high voltage lines and breakers with a minimum of 13.2 KVA

Preferred Qualifications (Desired Skills/Experience):
5+ years of experience in a electrical engineering role
Experience with Arch Flash labeling
Understanding of NFPA 70E standard
5+ years of experience with electrical systems including National Electrical Code, basic relay logic and high voltage systems
5+ years of experience leading engineering teams
5+ years of experience applying Root Cause Corrective Action (RCCA), or other similar rigorous problem/analysis/resolution, processes to engineering development and manufacturing/production efforts
5+ years of experience assisting with reliability processes in engineering
5+ years of experience in reliability and maintenance methodologies and tools
Additional Skills:
What are the Top 3-5 Technical/Software Skills needed to perform this role/job?:
Accountability and Responsibility
Electrical Engineering NEC/Regulations
Electrical Detail Design
Electrical Engineering Drawing
Working in a team environment, be able to collaborate with others on the team, willing/able to work with others.
Ability to project engineer assigned work.

MUST HAVE
Senior engineer that can work project work.
5+ years of experience using AutoCAD (or similar CAD package) REQUIRED
o Remote, onsite or a combination of both? COMBO
Onsite: 3 days on average subject to change.
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 9 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD+4 years' related work experience, Master+7 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.Due to Company education requirements please make sure all submitted candidates have a Bachelor of Science degree or higher in engineering, computer science, mathematics, physics or chemistry. If they do not meet this requirement, please withdraw them from consideration ASAPBasic Qualifications

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3031-3015-11534
Job Location: Tukwila WA
Per Diem: --
Overtime: --
Start Date: 2022-03-25
Input Date: 02/24/2022
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Facilities Plant Maint Spec B

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Basic knowledge of building codes, regulations and safety procedures. Under general supervision, demonstrates increased skill level in construction to include rough-in and lay-out. Is able to read basic blueprints and becomes familiar with building codes. May be considered an apprentice. Under general supervision, provides general support in response to routine trouble calls. Demonstrated understanding of customer service concepts. Under general supervision, demonstrates increased skill level in the repair and maintenance of plant and office facilities. Uses power tools under general supervision. Under general supervision, uses hand and power tools to construct, renovate and repair facilities and equipment.

The Mesa Facilities and Asset Management (F&AM) organization is seeking a Facilities Plant Maintenance Specialist (Level B) to join our Equipment Maintenance team. This is a 1st shift position located in Mesa, Arizona

This statement of work will involve providing equipment maintenance for manufacturing and test equipment. You will be supporting equipment to include fiber cutting and placement equipment, autoclaves, CNC's, water jets, laser wire markers, trim and drill, NDI (non-destructive inspection), ultrasonic scanners, and x-rays.

Position Responsibilities:

Repairs and maintains equipment & demonstrates experience in these areas under general supervision
Responds to trouble calls and resolves a variety of problems from basic to moderate scope and complexity, with assistance as needed
Follows safety procedures and regulations
Interprets basic schematics and diagrams
Utilizes a variety of hand and power tools to construct, renovate and repair equipment under general supervisior
Works with electrical systems and electronic components of complex industrial machinery and equipment, following manuals, schematic diagrams, blueprints, and other specifications
Ensures operation of machinery and mechanical equipment by completing preventive maintenance requirements on motors, pneumatic tools, and production machines; following diagrams, sketches, operations manuals, manufacturer's instructions, and engineering specifications; troubleshooting malfunctions
May receive specialized equipment training
May provide or support training to customers on the general use of equipment
Requesting contractors who can do 1st or 2nd shift. Please advise your candidates ahead of time.

Employer will not sponsor applicants for employment visa status.

Basic Qualifications (Required Skills/Experience):
High School diploma/GED
Experience performing plant equipment and/or production equipment maintenance
Experience working with detailed work instructions or reading & interpreting blueprints & drawing
Written and verbal communication skills

Preferred Qualifications (Desired Skills/Experience):
Trade school certification or education
1+ year of experience with troubleshooting system faults and developing preventative maintenance plans
1+ year of experience working in a manufacturing environment
1+ year of experience using Maximo or other Computerized Maintenance Software Systems
1+ year of experience with automation systems maintenance, robotics systems, and various types of equipment controllers, such as Siemens 840D, Fanuc, and Allen Bradley PLC's
1+ year of experience with Microsoft Office products like Outlook, PowerPoint, Excel, and Word
Organizational and interpersonal skills & ability to work cooperatively as a team member
Willingness to learn and apply new skills

Typical Education & Experience:
Education/experience typically acquired through basic education (e.g. High School diploma/GED) and typically 1 or more years' related work experience or an equivalent combination of education and experience (e.g. vocational school/Associate).
Additional Skills:
Skills: Education/experience typically acquired through basic education (e.g. High School diploma/GED) and typically 1 or more years' related work experience or an equivalent combination of education and experience (e.g. vocational school/Associate).

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3224-3207-11534
Job Location: Mesa AZ
Per Diem: --
Overtime: --
Start Date: 2022-05-18
Input Date: 03/12/2022
Last Updated: 01/25/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Facilities Plant Maint Spec B

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Basic knowledge of building codes, regulations and safety procedures. Under general supervision, demonstrates increased skill level in construction to include rough-in and lay-out. Is able to read basic blueprints and becomes familiar with building codes. May be considered an apprentice. Under general supervision, provides general support in response to routine trouble calls. Demonstrated understanding of customer service concepts. Under general supervision, demonstrates increased skill level in the repair and maintenance of plant and office facilities. Uses power tools under general supervision. Under general supervision, uses hand and power tools to construct, renovate and repair facilities and equipment.

The Mesa Facilities and Asset Management (F&AM) organization is seeking a Facilities Plant Maintenance Specialist (Level B) to join our Equipment Maintenance team. This is a 1st shift position located in Mesa, Arizona.

This statement of work will involve providing equipment maintenance for manufacturing and test equipment. You will be supporting equipment to include fiber cutting and placement equipment, autoclaves, CNC's, water jets, laser wire markers, trim and drill, NDI (non-destructive inspection), ultrasonic scanners, and x-rays.

Position Responsibilities:

Repairs and maintains equipment & demonstrates experience in these areas under general supervision

Responds to trouble calls and resolves a variety of problems from basic to moderate scope and complexity, with assistance as needed

Follows safety procedures and regulations

Interprets basic schematics and diagrams

Utilizes a variety of hand and power tools to construct, renovate and repair equipment under general supervision

Works with electrical systems and electronic components of complex industrial machinery and equipment, following manuals, schematic diagrams, blueprints, and other specifications

Ensures operation of machinery and mechanical equipment by completing preventive maintenance requirements on motors, pneumatic tools, and production machines; following diagrams, sketches, operations manuals, manufacturer's instructions, and engineering specifications; troubleshooting malfunctions

May receive specialized equipment training

May provide or support training to customers on the general use of equipment

Employer will not sponsor applicants for employment visa status.


Basic Qualifications (Required Skills/Experience):

High School diploma/GED

Experience performing plant equipment and/or production equipment maintenance

Experience working with detailed work instructions or reading & interpreting blueprints & drawings

Written and verbal communication skills


Preferred Qualifications (Desired Skills/Experience):

Trade school certification or education

1+ year of experience with troubleshooting system faults and developing preventative maintenance plans

1+ year of experience working in a manufacturing environment

1+ year of experience using Maximo or other Computerized Maintenance Software Systems

1+ year of experience with automation systems maintenance, robotics systems, and various types of equipment controllers, such as Siemens 840D, Fanuc, and Allen Bradley PLC's

1+ year of experience with Microsoft Office products like Outlook, PowerPoint, Excel, and Word

Organizational and interpersonal skills & ability to work cooperatively as a team member

Willingness to learn and apply new skills


Typical Education & Experience:

Education/experience typically acquired through basic education (e.g. High School diploma/GED) and typically 1 or more years' related work experience or an equivalent combination of education and experience (e.g. vocational school/Associate).
Additional Skills:
Skills: Education/experience typically acquired through basic education (e.g. High School diploma/GED) and typically 1 or more years' related work experience or an equivalent combination of education and experience (e.g. vocational school/Associate).
The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2888-2872-11534
Job Location: Mesa AZ
Per Diem: --
Overtime: --
Start Date: 2022-04-21
Input Date: 02/10/2022
Last Updated: 02/02/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Facilities Technician

Description:

JOB TITLE : Facilities Technician

LOCATION : Mojave, CA

Join us for an exciting opportunity to create history!

We are a national staffing firm working with some of the top-tier aerospace companies in the world. The contracts are regularly extended, and direct opportunities are often available.

Qualifying Questions:

    Are you a US persons as noted in the Job description?

    Do you meet the educational requirements for this job?

    Do you meet the experience requirements for this job?

    Are you able to commute to the job location or are you able to relocate for the role?

Details:

    Contract: Ongoing

    Location: Mojave, CA (90 minutes from Los Angeles, CA)

    Contract 12 mos. - potential for direct hire

    Medical, dental, and vision plan with United Healthcare

    Robust 401K

    Potential for bonuses

    Sick pay, and holiday pay for those who qualify

Summary:

    Assist facilities technicians with preventive maintenance on industrial equipment. Assist facilities technicians with troubleshooting of electrical and mechanical issues. Assist facilities technicians with basic carpentry, mechanical, plumbing, and painting of facilities. Perform cleaning in mechanical rooms and on industrial equipment. Perform outdoor landscaping and yard cleaning.

Desired Skills:

    Ability to stand for extended periods 9 hours minimum. Must be able to lift and handle materials and items up to 50 pounds, and occasionally 50+ with assistance. Must be able to work in uncontrolled outdoor conditions. Such as high winds, and high or low temperatures. Must be able to stoop, bend, crawl, and being able to maneuver in tight spaces. Must have basic understanding of mechanical and electrical principles. Must have basic knowledge of hand and power tools.

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service.

We support the majority of aerospace OEM's and tier 1 suppliers across the United States.

We have a national medical, dental, and vision plan with United Healthcare, and we also have a robust 401K offering. In addition, there is potential for bonuses, sick pay, and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

Keywords: aerospace, aviation, aircraft,

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2328-2318-11534
Job Location: Mojave CA
Per Diem: --
Overtime: --
Start Date: 2022-07-15
Input Date: 11/09/2021
Last Updated: 11/23/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tara Gilbert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Flight Readiness Technician B

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

These roles will be supporting the 787 program.

Job Description Summary:

On this assignment contractors are expected to work safely with the highest quality and integrity. Collaborate with humility inclusion and transparency and respect one another and advance a global, diverse team.

The assignment requires contractors to perform final component installation and systems operational functional testing. Performs rework, modification, maintenance, preflight and post-flight checks for delivery. Troubleshoots pre-flight and post-flight functions for delivery.

Required Skills/Experience
7 or more Years experience in the following
1. Pre Flight- Post Flight- troubleshooting- working on live aircraft
2. Aircraft maintenance and repair
3. Major dispatch services
4. Knowledge of live aerospace vehicle operations, environment and support. This includes pneumatic, hydraulic, electrical, and propulsion systems operations.
5. Knowledge of aircraft maintenance processes, policies, culture, and standard practices (e.g., return to service, normal duties/responsibilities, time constraints, available resources) in order to effectively represent the operator within the company.
6. Knowledge of responsibilities and tasks performed by various Operations departments/disciplines (e.g., Fabrication, Assembly, Tooling, Quality, Industrial Engineering, Manufacturing Engineering). Knowledge of the interactions between departments/disciplines and how their products/processes affect one another and impact non-operations processes (e.g., Engineering, Business, Logistics).
7. Knowledge of electrical test methodology (e.g., stand alone bench, automated, integration laboratory, simulation, functional test), planning, procedures, equipment (e.g., multimeter, oscilloscope, bus analyzer, automated test systems) and result validation criteria (e.g., pass/fail, control limits, tolerances).
8. Knowledge of quality systems (e.g., inspection process, company quality procedures).
9. Knowledge of regulatory authorities' (e.g., FAA, JAA, UK CAA) rules, regulations, flight test procedures, and philosophy.
10. Must be able to stand, lift up to 35lbs and climb stairs
11. 787 or composite aircraft

Preferred experience
1. A&P License

Does this position require a U.S person for purposes of Export Compliance?: Yes
Candidates schedule must be flexible- available to work any shift- 1st, 2nd, 3rd
Additional Skills:
Skills: Education/experience typically acquired through basic education (e.g. High School diploma/GED) and typically 1 or more years' related work experience or an equivalent combination of education and experience (e.g. vocational school/Associate).

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2578-2562-11534
Job Location: San Antonio TX
Per Diem: --
Overtime: --
Start Date: 2022-01-31
Input Date: 01/05/2022
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Flight Readiness Technician B

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

These roles will be supporting the 787 program.

Job Description Summary:

On this assignment contractors are expected to work safely with the highest quality and integrity. Collaborate with humility inclusion and transparency and respect one another and advance a global, diverse team.

The assignment requires contractors to perform final component installation and systems operational functional testing. Performs rework, modification, maintenance, preflight and post-flight checks for delivery. Troubleshoots pre-flight and post-flight functions for delivery.

Required Skills/Experience
7 or more Years experience in the following
1. Pre Flight- Post Flight- troubleshooting- working on live aircraft
2. Aircraft maintenance and repair
3. Major dispatch services
4. Knowledge of live aerospace vehicle operations, environment and support. This includes pneumatic, hydraulic, electrical, and propulsion systems operations.
5. Knowledge of aircraft maintenance processes, policies, culture, and standard practices (e.g., return to service, normal duties/responsibilities, time constraints, available resources) in order to effectively represent the operator within the company.
6. Knowledge of responsibilities and tasks performed by various Operations departments/disciplines (e.g., Fabrication, Assembly, Tooling, Quality, Industrial Engineering, Manufacturing Engineering). Knowledge of the interactions between departments/disciplines and how their products/processes affect one another and impact non-operations processes (e.g., Engineering, Business, Logistics).
7. Knowledge of electrical test methodology (e.g., stand alone bench, automated, integration laboratory, simulation, functional test), planning, procedures, equipment (e.g., multimeter, oscilloscope, bus analyzer, automated test systems) and result validation criteria (e.g., pass/fail, control limits, tolerances).
8. Knowledge of quality systems (e.g., inspection process, quality procedures).
9. Knowledge of regulatory authorities' (e.g., FAA, JAA, UK CAA) rules, regulations, flight test procedures, and philosophy.
10. Must be able to stand, lift up to 35lbs and climb stairs
11. 787 or composite aircraft

Preferred experience
1. A&P License

Does this position require a U.S person for purposes of Export Compliance?: Yes
Candidates schedule must be flexible- available to work any shift- 1st, 2nd

*Any candidate submitted on 49207-1 that wasn't disqualify or reject and still available please submit on this new req.
Additional Skills:
Skills: Education/experience typically acquired through basic education (e.g. High School diploma/GED) and typically 1 or more years' related work experience or an equivalent combination of education and experience (e.g. vocational school/Associate).

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2828-2812-11534
Job Location: San Antonio TX
Per Diem: --
Overtime: --
Start Date: 2022-06-10
Input Date: 02/01/2022
Last Updated: 01/25/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
HVAC Engineer

Description:
Actively support operation, maintenance, troubleshooting, and repair of large-scale HVAC equipment for the launch site, including authoring technical work documents and overseeing implementation.
Conduct feasibility studies, determine engineering criteria or Clients and prepare cost estimates for projects or tasks, perform analyses to develop design/redesign options, improvements, or recommendations for systems and components. Provides innovative solutions to complex engineering problems.
Perform engineering thermodynamic, heat transfer, and fluid/gas dynamic calculations to size and specify equipment.
Perform field walk-downs and review and revise electronic CAD files to produce accurate design and as-built drawings of launch facilities and support equipment.
Author detailed scopes of work, track and review submittals, review and approve supplier design drawings, generate solutions to contractors’ Requests for Information (RFIs), tracked and reviewed field change orders, coordinated and lead project status meetings, follow up on procurements and perform daily field surveillance and management of subcontractor activities.
Ensure design projects and constructions projects are managed per implementation schedules and within established engineering budgets.

Preferred Qualifications:
HVAC design experience
Project Management/Construction Management Experience

Required Experience:
Bachelor of Science from an accredited college in Engineering or equivalent experience. The successful candidate should have experience constructing, modifying, and/or operating facility ECS/HVAC systems for space launch facilities and/or heavy industrial facilities. Experience with industrial process heating, refrigeration, and air-conditioning systems and equipment is preferred. This position requires competent knowledge of facility ECS/HVAC systems, mechanical engineering design, and CAD practices as well as a sound practical (hands-on) knowledge of engineering principles, practices, and industry standards.
 
Job Number: 797-1
Job Location: Vandenberg AFB, CA
Rate: Open
Per Diem: NO
Overtime: YES
Duration: LT
Start Date: ASAP
Input Date: 04/20/2022
Last Updated: 05/02/2022
Firm Name: AGREEYA SOLUTIONS
Attention: Ranu singh
Address: 605 COOLIDGE DR STE 200
City, State: FOLSOM, CA 95610
Phone: 916/294-0075
Website: www.agreeya.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
HVAC Engineer

Description:
Actively support operation, maintenance, troubleshooting, and repair of large-scale HVAC equipment for the launch site, including authoring technical work documents and overseeing implementation.
Conduct feasibility studies, determine engineering criteria or Clients and prepare cost estimates for projects or tasks, perform analyses to develop design/redesign options, improvements, or recommendations for systems and components. Provides innovative solutions to complex engineering problems.
Perform engineering thermodynamic, heat transfer, and fluid/gas dynamic calculations to size and specify equipment.
Perform field walk-downs and review and revise electronic CAD files to produce accurate design and as-built drawings of launch facilities and support equipment.
Author detailed scopes of work, track and review submittals, review and approve supplier design drawings, generate solutions to contractors’ Requests for Information (RFIs), tracked and reviewed field change orders, coordinated and lead project status meetings, follow up on procurements and perform daily field surveillance and management of subcontractor activities.
Ensure design projects and constructions projects are managed per implementation schedules and within established engineering budgets.

Preferred Qualifications:
HVAC design experience
Project Management/Construction Management Experience

Required Experience:
Bachelor of Science from an accredited college in Engineering or equivalent experience. The successful candidate should have experience constructing, modifying, and/or operating facility ECS/HVAC systems for space launch facilities and/or heavy industrial facilities. Experience with industrial process heating, refrigeration, and air-conditioning systems and equipment is preferred. This position requires competent knowledge of facility ECS/HVAC systems, mechanical engineering design, and CAD practices as well as a sound practical (hands-on) knowledge of engineering principles, practices, and industry standards.
 
Job Number: 809-1
Job Location: Cape Canaveral, FL
Rate: Open
Per Diem: Yes
Overtime: YES
Duration: LT
Start Date: ASAP
Input Date: 05/02/2022
Last Updated: 05/02/2022
Firm Name: AGREEYA SOLUTIONS
Attention: Ranu singh
Address: 605 COOLIDGE DR STE 200
City, State: FOLSOM, CA 95610
Phone: 916/294-0075
Website: www.agreeya.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer

Description:
Join us for an exciting opportunity to create history!

We are a national staffing firm working with some of the top-tier aerospace & spaceship companies globally. Our client is looking for Industrial Engineer to start work right away.

Details
Contract: 6-12 months (possibility of going full time)
Location: Mojave, CA (90 minutes from Los Angeles, CA)

Summary
• Responsible for manufacturing process development, implementation, and continuous improvement to enhance quality, reduce cost, and improve productivity/schedule.
• Support manufacturing safety, production planning, and coordination, execution, and operations process development
• Provide, develop and update metrics to track efficiencies, including planned versus actuals
• Identify root cause, proactive implement corrective and or preventive action to reduce scrap, rework and improve quality
• Improve manufacturing efficiency by analyzing and planning workflow space, processes, and requirements, including equipment/facilities layout/5S implementation
• Develop and support methods that systematically reduce costs through equipment and processes improvements
• Improve manufacturing efficiency by developing time studies and developing criteria for operational steps
• Support input and maintenance of standards for work estimation/bidding

Qualifications
• Must have a B.Sc. Engineering degree from an accredited university
• Two (2) or more years of relevant aerospace, manufacturing, processes, and specifications, including high-performance composites fabrication and structures Engineering design software a plus.
• Knowledge of Kaizen and Lean Manufacturing techniques

About Us
The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service.

We support the majority of Aerospace OEM's and Tier 1 suppliers across the United States.

We have a national medical, dental, and vision plan with United Healthcare, and we also have a robust 401K offering. In addition, there is potential to earn bonuses, sick pay, and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)
 
Job Location: Mojave, CA
Rate: 50-70
Per Diem: Possible
Overtime: Possible
Duration: 6-12 mos
Start Date: ASAP
Input Date: 10/19/2021
Last Updated: 01/26/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer

Description:
We have an outstanding Contract position for an Industrial Engineer to join a leading Company located in the Baltimore, MD surrounding area.

** U.S Citizenship is required.**

Job Responsibilities:
Complete the key deliverables to support the business as directed.
Assists with analyzing historical data (Costs, material consumption, equipment performance), establishing targets, maintaining and communicating performance, leading complex projects to achieve productivity savings; liaison between Operations and the Spotfire Team for cost reporting.
General Industrial Engineering related projects i.e., time studies; waste walks, and 6S Implementation on multiple programs
Drives Lean improvements – i.e., shadow boards, kan ban, process improvements, establishing standard work, established visual management systems and process flow
Analyze machine availability/downtime and report quarterly performance
Support special projects related to spares for equipment and consumable evaluations as required. Define/quantify work segments for optimum job sequencing and labor estimation
Support the SAP/PEO migration, updating MPP's, training other ME's, train Hourly employees on user interface applications

Basic Hiring Criteria:
BS in Industrial Engineering
Other technical degree (i.e. Mechanical Eng.) and demonstrated experience in industrial engineering or Lean manufacturing experience in a manufacturing environment.
 
Job Number: 50474
Job Location: Baltimore, MD
Duration: 6 mos+
Start Date: ASAP
Input Date: 03/15/2022
Last Updated: 05/09/2022
Firm Name: TAD PGS INC
Attention: Kim Silcott
Address: 12062 VALLEY VIEW ST STE 108
City, State: GARDEN GROVE, CA 92845
Phone: 657/250-1893
800 Phone: 800/261-3779
Website: www.tadpgs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer

Description:
I'm recruiting for early career Industrial Engineers for our client in Waterloo, Ia. Successful candidates will have a BS degree in Engineering and 1 to 2 years exp. in Industrial Engineering & Time Studies. Ideally, you'll have Shop Floor exp. in Tooling, Distribution, and/or Machining in a manufacturing setting. Email resumes to: ringle@pdstech.com for immediate assistance.
 
Job Number: JP31890
Job Location: Waterloo, IA
Rate: Open
Per Diem: split
Overtime: possible
Duration: long term
Start Date: asap
Input Date: 04/07/2022
Last Updated: 05/27/2022
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Rick Ingle
Address: 11828 W CENTRAL STE 100
City, State: WICHITA, KS 67212-5129
Phone: 316/729-1800
800 Phone: 800/333-8644
Fax Phone: 316/729-1857
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer - Contract - Aerospace Industry Experience a MUST

Description:
This Industrial Engineer position provides technical support for the Production Engineering Group working in areas such as manufacturing, design, development, and testing.
MUST have 6+ years in the aerospace/aircraft industry.

Duties and Responsibilities:
1. Leading process optimization efforts to address specific business requirements and to eliminate waste from assembly processes.
2. Supporting Production Engineering projects and initiatives with a focus on reducing costs, improving quality, and improving customer satisfaction.
3. Implementing productivity improvements.
4. Working with cross functional teams to develop best practice solutions.
5. Utilizing a wide range of Industrial Engineering, Lean, and Six Sigma tools and techniques to make process improvements.
6. Implementing mistake-proofing controls to maintain or improve process quality.
7. Conducting root cause analyses to address specific process or quality problems.
8. Working with Production Engineering teams to identify potential projects, assess feasibility, and implement solutions.
9. Performing Time Studies to understand processes and performance metrics.
10. Documenting processes using process mapping and value stream mapping.
11. Utilizing Lean and Six Sigma tools to lead and execute process improvement projects.
12. Designing facility layout alternatives.

Education, Work Experience, Certification and/or Licensure:
Bachelor’s Degree (Manufacturing, Manufacturing Engineering, Industrial Engineering, Aerospace Engineering, Industrial Technology, or equivalent technical major).
Minimum 6 years’ aerospace industry experience in aerospace manufacturing, planning, testing and fabrication.
Six Sigma training, LEAN manufacturing process knowledge, and process improvement skills are highly preferred.
CATIA and AutoCAD experience is a plus.
Knowledge of design/drafting practices, design for manufacturability, GD&T, and proficiency in reading/understanding mechanical drawings.
Strong analytical skills coupled with a creative and open mind towards alternative methods.

Knowledge, Skills and Abilities:
Strong analytical and innovative skills.
Strong work ethic.
Ability to multitask.
Ability to work under minimal supervision.
Detail oriented.
Excellent problem solving skills.
Ability to read and interpret engineering drawing and/or specification requirements.
Excellent communication skills, both verbal and written.
Excellent presentation skills.
Strong working knowledge of Microsoft Office Suites (Word, Excel, PowerPoint, and Lotus Notes).
Knowledgeable of various manufacturing principles, engineering practices, mathematics, materials, and physical sciences to review detailed designs and drawings.

The Structures Company LLC is an AA/EOE.
 
Job Location: Greensboro, NC
Rate: $45-60/hr DOE
Per Diem: Yes
Overtime: Possible
Duration: Long-term
Start Date: ASAP
Input Date: 07/28/2021
Last Updated: 01/26/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Chris Sheets
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer // Multiple locations

Description:
Industrial Engineer 2

Location: Everett, WA
Duration: 4 Months (with Possible extension)

Description:
Applies Industrial Engineering concepts, techniques, analysis and decision tools under general supervision to promote and assist in implementing changes in manufacturing, engineering and service operations. Assists in developing models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides summary analysis and metrics for consultation to customers (e.g., management, departments, suppliers). Applies general industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements. Assist in research, design, development, improvement and implementation of processes to enhance schedule performance, lower cost, and improve quality, through the application of Lean and other Industrial Engineering concepts for large scale systems integration and asset utilization. Under general supervision, manages, defines, negotiates, and controls the scope, cost, and timing of projects, and performs risk analysis using project management tools (e.g., work break-down structure, precedence network, resource allocation). Provides support to project completion. Utilizes engineering methods (e.g., mathematical models, simulation, statistics) to support the development of optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products. Under general direction, analyzes and designs value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).

Competency
- Accountability and Responsibility
- Adaptability
- Analytical Skills (Technical
- Assembly Process
- Capacity Management
- Change Management
- Critical Path Methodology
- Design Concepts & Techniques
- Engr Economics Analysis & Processes
- General Financial Knowledge
- Knowledge of Integrated Systems
- Proj Sched & Resource Mgmt
- Statistical Concepts
- Supply Chain Strategy
- Work Measurement Techniques

Education / Experience:
Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 2 or more years' related work experience or an equivalent combination of technical education and experience (e.g. Master). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

Additional Job Description:
- Specific systems, programs

Skills required:
Top 3-5 Skills needed to perform this role/job?:
Work Measurement (Time Studies and Process Observations), Excel: data input and data analysis.

Top 3-5 Soft Skills for culture fit:
Experience in Team environment, Time Management, Self-Starter

Type of background you are looking for (education, # yrs experience, etc.):
Bachelor’s degree in related field or 3+ year experience in process improvements

Software skills required:
Excel

Skill Code: D26-Mfg Industrial Engrg
 
Job Location: Everett, WA
Rate: $46/hr
Per Diem: Split
Overtime: Yes
Duration: 4 months
Start Date: ASAP
Input Date: 05/01/2023
Last Updated: 08/10/2023
Firm Name: ICONMA LLC
Attention: Mohamed Zoheb
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/602-3856
Website: iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer 2

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.
Applies Industrial Engineering concepts, techniques, analysis and decision tools under general supervision to promote and assist in implementing changes in manufacturing, engineering and service operations. Assists in developing models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides summary analysis and metrics for consultation to customers (e.g., management, departments, suppliers). Applies general industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements. Assist in research, design, development, improvement and implementation of processes to enhance schedule performance, lower cost, and improve quality, through the application of Lean and other Industrial Engineering concepts for large scale systems integration and asset utilization. Under general supervision, manages, defines, negotiates, and controls the scope, cost, and timing of projects, and performs risk analysis using project management tools (e.g., work break-down structure, precedence network, resource allocation). Provides support to project completion. Utilizes engineering methods (e.g., mathematical models, simulation, statistics) to support the development of optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products. Under general direction, analyzes and designs value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).
4x10 shifts
Additional Skills:
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 2 or more years' related work experience or an equivalent combination of technical education and experience (e.g. Master). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2999-2983-11534
Job Location: Mesa AZ
Per Diem: --
Overtime: --
Start Date: 2022-05-18
Input Date: 02/18/2022
Last Updated: 01/03/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer 2

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Applies Industrial Engineering concepts, techniques, analysis and decision tools under general supervision to promote and assist in implementing changes in manufacturing, engineering and service operations. Assists in developing models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides summary analysis and metrics for consultation to customers (e.g., management, departments, suppliers). Applies general industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements. Assist in research, design, development, improvement and implementation of processes to enhance schedule performance, lower cost, and improve quality, through the application of Lean and other Industrial Engineering concepts for large scale systems integration and asset utilization. Under general supervision, manages, defines, negotiates, and controls the scope, cost, and timing of projects, and performs risk analysis using project management tools (e.g., work break-down structure, precedence network, resource allocation). Provides support to project completion. Utilizes engineering methods (e.g., mathematical models, simulation, statistics) to support the development of optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products. Under general direction, analyzes and designs value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).
Position is100% onsite
Are you okay with out-of-state candidates?: Yes, must relocate at own expense
Additional Skills:
PROJECT/SKILLS
Work Measurement Techniques
Supply Chain Strategy
Proj Sched & Resource Mgmt
Process Modeling
Process Management Skills
Information Technology Fluency
Design Concepts & Techniques
Engineering Processes
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 2 or more years' related work experience or an equivalent combination of technical education and experience (e.g. Master). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3552-3534-11534
Job Location: Moses Lake WA
Per Diem: --
Overtime: --
Start Date: 2022-06-15
Input Date: 04/06/2022
Last Updated: 01/19/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer 2

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Applies Industrial Engineering concepts, techniques, analysis and decision tools under general supervision to promote and assist in implementing changes in manufacturing, engineering and service operations. Assists in developing models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides summary analysis and metrics for consultation to customers (e.g., management, departments, suppliers). Applies general industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements. Assist in research, design, development, improvement and implementation of processes to enhance schedule performance, lower cost, and improve quality, through the application of Lean and other Industrial Engineering concepts for large scale systems integration and asset utilization. Under general supervision, manages, defines, negotiates, and controls the scope, cost, and timing of projects, and performs risk analysis using project management tools (e.g., work break-down structure, precedence network, resource allocation). Provides support to project completion. Utilizes engineering methods (e.g., mathematical models, simulation, statistics) to support the development of optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products. Under general direction, analyzes and designs value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).
Additional Skills:
PROJECT/SKILLS
Analytical Skills (Technical)
Capacity Management
Critical Path Methodology
Engineering Economics Analysis
Design Concepts & Techniques
Information Technology Fluency
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 2 or more years' related work experience or an equivalent combination of technical education and experience (e.g. Master). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.PROJECT/SKILLS Analytical Skills (Technical) Capacity Management Critical Path Methodology Engineering Economics Analysis Design Concepts & Techniques Information Technology Fluency

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2565-2549-11534
Job Location: Everett WA
Per Diem: --
Overtime: --
Start Date: 2022-01-13
Input Date: 12/29/2021
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer 2

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Description:

Applies Industrial Engineering concepts, techniques, analysis and decision tools under general supervision to promote and assist in implementing changes in manufacturing, engineering and service operations. Assists in developing models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides summary analysis and metrics for consultation to customers (e.g., management, departments, suppliers). Applies general industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements. Assist in research, design, development, improvement and implementation of processes to enhance schedule performance, lower cost, and improve quality, through the application of Lean and other Industrial Engineering concepts for large scale systems integration and asset utilization. Under general supervision, manages, defines, negotiates, and controls the scope, cost, and timing of projects, and performs risk analysis using project management tools (e.g., work break-down structure, precedence network, resource allocation). Provides support to project completion. Utilizes engineering methods (e.g., mathematical models, simulation, statistics) to support the development of optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products. Under general direction, analyzes and designs value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).
Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 2 or more years' related work experience or an equivalent combination of technical education and experience (e.g. Master). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.
Type of background you are looking for (education, # yrs experience, etc.):
Bachelor's degree in related field or 3+ year experience in process improvements or work measurement
Software skills required:
Excel
PowerPoint

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a robust 401K offering. In some cases there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 1972-1975-11534
Job Location: Everett WA
Per Diem: --
Overtime: --
Start Date: 2022-01-10
Input Date: 12/10/2021
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Kimra Stone
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer 2

Description:
Description

Applies Industrial Engineering concepts, techniques, analysis and decision tools under general supervision to promote and assist in implementing changes in manufacturing, engineering and service operations. Assists in developing models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides summary analysis and metrics for consultation to customers (e.g., management, departments, suppliers). Applies general industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements. Assist in research, design, development, improvement and implementation of processes to enhance schedule performance, lower cost, and improve quality, through the application of Lean and other Industrial Engineering concepts for large scale systems integration and asset utilization. Under general supervision, manages, defines, negotiates, and controls the scope, cost, and timing of projects, and performs risk analysis using project management tools (e.g., work break-down structure, precedence network, resource allocation). Provides support to project completion. Utilizes engineering methods (e.g., mathematical models, simulation, statistics) to support the development of optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products. Under general direction, analyzes and designs value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).

Work Measurement (Time Studies and Process Observations), excel: data input and data analysis, power point: reports and presentations
Bachelor’s degree in related field or 3+ year experience in process improvements.

Additional:
Excel
PowerPoint
 
Job Number: 45264-1
Job Location: Everett, WA
Rate: DOE
Per Diem: yes
Input Date: 01/28/2022
Last Updated: 10/07/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Chris Sheets
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer 3

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Applies advanced Industrial Engineering concepts, techniques, analysis and decision tools to promote and implement changes in manufacturing, engineering and service operations. Independently develops models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides summary analysis and metrics for consultation to customers (e.g., management, departments, suppliers). Applies advanced industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements. Researches, designs, develops, improves, and implements processes to enhance schedule performance, lower cost, and improve quality, through the application of advanced Lean and other Industrial Engineering concepts for large scale systems integration and asset utilization. Independently manages, defines, negotiates, and controls the scope, cost, and timing of projects, and performs risk analysis using project management tools (e.g., work break-down structure, precedence network, resource allocation). Ensures project is completed within budget. Utilizes advanced engineering methods (e.g., mathematical models, simulation, statistics) to develop optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products. Analyzes and designs value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).
Position is 100% onsite
Are you okay with out-of-state candidates?: Yes, must relocate at own expense
Additional Skills:
PROJECT/SKILLS
Work Measurement Techniques
Supply Chain Strategy
Proj Sched & Resource Mgmt
Process Modeling
Process Management Skills
Information Technology Fluency
Design Concepts & Techniques
Engineering Processes
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 5 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD, Master+3 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3553-3535-11534
Job Location: Moses Lake WA
Per Diem: --
Overtime: --
Start Date: 2022-06-15
Input Date: 04/08/2022
Last Updated: 01/25/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer 4

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Leads the application of Industrial Engineering concepts, techniques, analysis and decision tools to promote and implement changes in manufacturing, engineering and service operations. Leads the development of models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides expert analysis and metrics for consultation to customers (e.g., management, departments, suppliers). Leads in the application of extensive industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements. Leads the research, design, development, improvement, and implementation of processes to enhance schedule performance, lower cost, and improve quality, through the expert application of Lean and advanced Industrial Engineering concepts for large scale systems integration and asset utilization. Manages, defines, negotiates, and controls the scope, cost, and timing of projects, and direct the use of project management tools (e.g., work break-down structure, precedence network, resource allocation). Ensures project is completed within budget, and on schedule. Leads the use of engineering methods (e.g., mathematical models, simulation, statistics) to develop optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products. Leads the analysis and design of the value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).

The Vertical Lift team is seeking a highly motivated and highly technical Senior Industrial Engineer to drive efficiency in the highly dynamic Depot Repair and Overhaul facility for AH-64 Apache and AH-6 product lines. Position is located in Mesa, AZ

The selected candidate will demonstrate the Company Behaviors and First-Time Quality while contributing to the delivery of Industrial Engineering services supporting Apache aircraft production and component repair and overhaul for USG and International customers.

Position Responsibilities:

Coach and mentor junior engineers and collaborate with teammates across multiple disciplines and functions in our effort to sustain AH-64 and AH-6 products around the globe.

Leads the application of Industrial Engineering concepts, techniques, analysis and decision tools to promote and implement changes in manufacturing, engineering and service operations.

Leads the development of models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides expert analysis and metrics for consultation to customers (e.g., management, departments, suppliers).

Leads in the application of extensive industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements.

Leads the research, design, development, improvement, and implementation of processes to enhance schedule performance, lower cost, and improve quality, through the expert application of Lean and advanced Industrial Engineering concepts for large scale systems integration and asset utilization.

Manages, defines, negotiates, and controls the scope, cost, and timing of projects, and direct the use of project management tools (e.g., work break-down structure, precedence network, resource allocation). Ensures project is completed within budget, and on schedule.

Leads the use of engineering methods (e.g., mathematical models, simulation, statistics) to develop optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products.

Leads the analysis and design of the value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).


The position must meet Export Control compliance requirements, therefore a "US Person" as defined by 22 C.F.R. 120.15 is required. "US Person" includes US Citizen, lawful permanent resident, refugee, or asylee.

Basic Qualifications (Required Skill/Experience):

5+ years of experience with business problem identification, data collection and preparation, interpretation and problem solving

5+ years of experience in aerospace manufacturing operations and/or manufacturing support

5+ years of experience in oversight of budget, development of quarterly EAC, program health metrics, earned value, and management of program critical path

5+ years of experience communicating across organizations with suppliers and leadership


Preferred Qualifications (Desired Skills/Experience):

Experience working with the following software and database technologies is preferred: the Aurora Client, Job Sequencing and Scheduling Toolkit, Capacity Management, Electronic Bar Chart (EBC), E-Visuals, Priority Board, & Mantis

Experience working in both a development and sustaining program environments

1+ years with Manufacturing Execution Systems common image (MESci)

Excellent written, verbal communication and presentation skills

Typical Education/Experience:

Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 9 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD+4 years' related work experience, Master+7 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2659-2643-11534
Job Location: Mesa AZ
Per Diem: --
Overtime: --
Start Date: 2022-01-14
Input Date: 01/07/2022
Last Updated: 01/03/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer 4

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Leads the application of Industrial Engineering concepts, techniques, analysis and decision tools to promote and implement changes in manufacturing, engineering and service operations. Leads the development of models, data bases and spreadsheets to analyze data (e.g., statistics, operations research, engineering economics), and provides expert analysis and metrics for consultation to customers (e.g., management, departments, suppliers). Leads in the application of extensive industrial engineering techniques and concepts to product and process design teams throughout all phases of product lifecycle, resulting in a robust product design and work statement that meets program requirements. Leads the research, design, development, improvement, and implementation of processes to enhance schedule performance, lower cost, and improve quality, through the expert application of Lean and advanced Industrial Engineering concepts for large scale systems integration and asset utilization. Manages, defines, negotiates, and controls the scope, cost, and timing of projects, and direct the use of project management tools (e.g., work break-down structure, precedence network, resource allocation). Ensures project is completed within budget, and on schedule. Leads the use of engineering methods (e.g., mathematical models, simulation, statistics) to develop optimal process designs and efficient utilization of resources (e.g., facilities, personnel, materials, equipment) in the creation and validation of products. Leads the analysis and design of the value stream, including capability, capacity (e.g., make/buy, supplier selection, risk analysis, supplier performance), throughput, work flow and logistics (e.g., critical path, lead-time, transportation, factory layout).
Position is 100% onsite
Are you okay with out-of-state candidates?: Yes, must relocate at own expense
Additional Skills:
PROJECT/SKILLS
Work Measurement Techniques
Supply Chain Strategy
Proj Sched & Resource Mgmt
Process Modeling
Process Management Skills
Information Technology Fluency
Design Concepts & Techniques
Engineering Processes
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 9 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD+4 years' related work experience, Master+7 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3554-3536-11534
Job Location: Moses Lake WA
Per Diem: --
Overtime: --
Start Date: 2022-06-15
Input Date: 04/08/2022
Last Updated: 02/01/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

Industrial Engineers

Description:
All industries, nationwide.

Immediate needs - email a resume and call our national recruiters
 
Job Location: Nationwide
Input Date: 01/24/2022
Firm Name: ADDITIONAL CONTRACT SERVICES
Address: 85 RANGEWAY RD BLDG 1 STE 2
City, State: NORTH BILLERICA, MA 01862
800 Phone: 800/661-8272
Email: acs@acsnatl.com
Website: www.acsnatl.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Integrated Plng & Sched Spec 2

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Program Management - Integrated Planning and Scheduling Associate

Defense, Space & Security (DS) is seeking multiple Integrated Planning and Scheduling Associates, early career positions to work in St. Louis, MO. Position are within the Site's Master Production and Program Scheduling organization that covers Fixed Wing Aircraft, Tooling, Weapons and Special Projects.

This position is expected to be 100% onsite.

Position Responsibilities:
Supports preparation, development and coordination of an integrated plan and schedule to meet program and/or project requirements.
Assists with the development of program plans, schedules and coordinates with affected organizations, documents work statements and resulting schedules.
Supports the integration of program plans and schedules, horizontally and vertically, across company functional, product groups, suppliers and partners of moderate complexity.
Identifies and reports performance variances.
Develops the Integrated Master Production Plan, schedule and supports change incorporation plans related to Integrated Scheduling products.
Participates in the review of proposed changes to the baseline.

Basic Qualifications (Required Skills/Experience):
Bachelor's degree or higher.
Experience in program planning, project management, integrated scheduling, Industrial Engineering, Business Operations, Supplier Management, shop floor Operations and/or related disciplines.
Experience working with and partnering with cross-functional teams on projects and initiatives
Experience working with Microsoft Office Applications

Preferred Qualifications (Desired Skills/Experience):
Experience with scheduling tools e.g. OPP, Microsoft Project, RAIDSS, or other Project Management Software is a plus.
Additional Skills:
Skills: Education/experience typically acquired through advanced education (e.g. Bachelor) and typically 3 or more years' related work experience or an equivalent combination of education and experience (e.g. Master+1 years' related work experience , 7 years' related work experience, etc.).

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2683-2667-11534
Job Location: Berkeley MO
Per Diem: --
Overtime: --
Start Date: 2022-04-11
Input Date: 01/14/2022
Last Updated: 02/02/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Integrated Plng & Sched Spec 3

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Seeking an Integrated Planning & Scheduling Specialist in OKC

The successful candidate will be able to develop detail-level schedules (below the IMS) for a variety of product value chains from design through delivery. They will demonstrate a commitment to cross-functional integration and engagement, detailed impact analysis, configuration control and change management, vertical alignment throughout schedule tiers, and data-driven performance analysis and reporting. Candidates with Integrated Planning and Scheduling, Manufacturing Engineering, and Industrial engineering experience will likely be well-suited to this position.

Position Responsibilities:
Leads, develops, coordinates, integrates, analyzes, and maintains Commitment Plans and Schedules for complex projects, programs, and change driven activities.
Leads, directs, facilitates and ensures integration of project/program plans and schedules, horizontally and vertically, across company functional, product groups, suppliers and partners.
Develops and establishes lead time requirements and identifies production constraints for a variety of product value chains.
Leads in studies/analysis and planning efforts within and/or across programs/projects, organizations or business units to determine impacts, constraints, and plans involving product development, process improvement, or program initiatives.
Provides analysis and product development initiatives for manufacturing, program and support organizations.
As part of Change Management, conducts impact analysis for program schedules, realigning tasks as required to support production requirements and meet company strategy for work placement.
Provides coaching, mentoring and leadership to lower level employees.

Basic Qualifications (Required Skills/Experience):
Bachelor's degree or higher
3+ years' experience scheduling experience in an engineering and manufacturing environment
3+ years' experience do you have in a finance, scheduling, industrial engineering, change management, strategy, program management, or project management role

Preferred Qualifications (Desired Skills/Experience):
Understanding of lead time analysis and its value to program management, engineering, and supply chain management
Demonstrated ability to integrate work flows across a variety of business and engineering functions
Please make sure the below information is included in the notes of candidates profile for consideration
Current Location:
Availability to relocate:
Degree:
Additional Skills:
Skills: Bachelor's and typically 6 or more years' related work experience, a Master's degree and typically 4 or more years' related work experience or an equivalent combination of education and experience.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2910-2894-11534
Job Location: Oklahoma City OK
Per Diem: --
Overtime: --
Start Date: 2022-03-25
Input Date: 02/10/2022
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Integrated Plng and Sched Spec 3 -Baseline/Change Management

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Description:

Seeking an Integrated Planning & Scheduling Specialist in OKC

The successful candidate will be able to develop detail-level schedules (below the IMS) for a variety of product value chains from design through delivery. They will demonstrate a commitment to cross-functional integration and engagement, detailed impact analysis, configuration control and change management, vertical alignment throughout schedule tiers, and data-driven performance analysis and reporting. Candidates with Integrated Planning and Scheduling, Manufacturing Engineering, and Industrial engineering experience will likely be well-suited to this position.

Position Responsibilities:
Leads, develops, coordinates, integrates, analyzes, and maintains Commitment Plans and Schedules for complex projects, programs, and change driven activities.
Leads, directs, facilitates and ensures integration of project/program plans and schedules, horizontally and vertically, across company functional, product groups, suppliers and partners.
Develops and establishes lead time requirements and identifies production constraints for a variety of product value chains.
Leads in studies/analysis and planning efforts within and/or across programs/projects, organizations or business units to determine impacts, constraints, and plans involving product development, process improvement, or program initiatives.
Provides analysis and product development initiatives for manufacturing, program and support organizations.
As part of Change Management, conducts impact analysis for program schedules, realigning tasks as required to support production requirements and meet company strategy for work placement.
Provides coaching, mentoring and leadership to lower level employees.

Basic Qualifications (Required Skills/Experience):
Bachelor's degree or higher
3+ years' experience scheduling experience in an engineering and manufacturing environment
3+ years' experience do you have in a finance, scheduling, industrial engineering, change management, strategy, program management, or project management role

Preferred Qualifications (Desired Skills/Experience):
Understanding of lead time analysis and its value to program management, engineering, and supply chain management
Demonstrated ability to integrate work flows across a variety of business and engineering functions

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a robust 401K offering. In some cases there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2389-2378-11534
Job Location: Oklahoma City OK
Per Diem: --
Overtime: --
Start Date: 2022-02-08
Input Date: 11/18/2021
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Kimra Stone
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Interior Design Engineer

Description:

We are a national staffing firm working with some of the top-tier aerospace companies in the world! And we are looking for first-class employees to work with our clients.

Details:

    Location: Greensboro, NC Contract 12 mos. - potential for extended term Medical, dental, and vision plan with United Healthcare Robust 401K Potential for bonuses Sick pay, and holiday pay for those who qualify

Summary:

    Creates conceptual designs for interiors of the aircraft through 3D modeling software, drawings, and/or drafting application. Develops designs for form/fit/function to meet customer and design criteria. Provides designs for the fabrication process including sheet metal, extrusions and inject molding Validates engineering designs per engineering core principles, design standards, processes and industry best practices. Makes recommendations to engineering lead/management. Researches and maintains up to date knowledge of technical data pertaining to cabin, cockpit structures, hardware, material, and finishes. Ensures adherence to program schedule. Performs other duties as assigned.

Desired Skills:

    Bachelors' degree in Mechanical, Aerospace or Civil Engineering from a four year college or university is required. (In lieu of degree, management may elect to consider individuals with a equivalent years of combined experience and training.) Masters' degree in Engineering preferred. 8+ years of engineering experience with at least three years of design engineering experience directly related to mechanical/interior design for commercial aircraft. Working knowledge of conceptual design development of aircraft interiors. Working knowledge of industry standard (i.e. - parts lists, bill of materials, parts and standards callout, etc). In-depth knowledge of Geometric Dimensioning and Tolerancing (GD&T). Familiarity with 14CFR Part 23/25. Proficiency in CATIA V5 workbenches or equivalent 3D modeling tools (i.e. - Solidworks, Unigraphics, etc). Proficiency in Teamcenter (or equivalent PLM tool). Ability to work independently or within a team environment. Ability to communicate effectively throughout all levels within an organization and present data clearly and concisely. Ability to work cross functionally with Quality and Production to resolve issues on the production line.

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service.

We support the majority of aerospace OEM's and tier 1 suppliers across the United States.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2716-2700-11534
Job Location: Greensboro NC
Per Diem: --
Overtime: --
Start Date: 2022-07-13
Input Date: 01/18/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: JR Gonsalves
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Interior Design Engineer

Description:
Interior Design Engineer
Description

General Summary:

The Interior Design Engineer will perform interior design engineering for cabin & cockpit structures, components, and trim for aircraft. The individual will also on occasion support tasks associated with interior Installations.

Duties and Responsibilities:

Creates conceptual designs for interiors of the aircraft through 3D modeling software, drawings, and/or drafting application. Develops designs for form/fit/function to meet customer and design criteria. Provides designs for the fabrication process including sheet metal, extrusions and inject molding Validates engineering designs per engineering core principles, design standards, processes and industry best practices. Makes recommendations to engineering lead/management. Researches and maintains up to date knowledge of technical data pertaining to cabin, cockpit structures, hardware, material, and finishes. Ensures adherence to program schedule. Performs other duties as assigned.

Qualifications

Education, Work Experience, Certification and/or Licensure:

Bachelors' degree in Mechanical, Aerospace or Civil Engineering from a four year college or university is required. (In lieu of degree, management may elect to consider individuals with a equivalent years of combined experience and training.) Masters' degree in Engineering preferred. 8+ years of engineering experience with at least three years of design engineering experience directly related to mechanical/interior design for commercial aircraft.

Knowledge, Skills and Abilities:

Working knowledge of conceptual design development of aircraft interiors. Working knowledge of industry standard (i.e. - parts lists, bill of materials, parts and standards callout, etc). In-depth knowledge of Geometric Dimensioning and Tolerancing (GD&T). Familiarity with 14CFR Part 23/25. Proficiency in CATIA V5 workbenches or equivalent 3D modeling tools (i.e. - Solidworks, Unigraphics, etc). Proficiency in Teamcenter (or equivalent PLM tool). Ability to work independently or within a team environment. Ability to communicate effectively throughout all levels within an organization and present data clearly and concisely. Ability to work cross functionally with Quality and Production to resolve issues on the production line.

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 902-907-11534
Job Location: Greensboro NC
Per Diem: --
Overtime: --
Start Date: 2021-12-08
Input Date: 12/09/2021
Last Updated: 09/21/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: JR Gonsalves
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Lead Quality Assurance Engineer

Description:

If you are looking for a challenging opportunity that will ignite your passion for designing cool and innovative products, are exceptionally creative, are a great problem solver, and can make things happen - apply today!

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Lead Quality Assurance Engineer
    Manage day to day operations of the quality engineering department interfacing with other departments and the QE manager Represents QE Management in various cross-functional activities with a primary emphasis on compliance to established QMS requirements Provide technical support along with training & mentoring to quality engineering staff in different programs Oversee metric/data collection and reporting from all programs and create/suggest process improvements as needed Champions or delegate with effective direction on long lead improvement activities to ensure departmental and organizational success Perform other activities as assigned by Quality Management

What you bring

    Bachelor's degree in Mechanical Engineering, Aerospace Engineering, Industrial Engineering, or Quality Systems from an accredited university or college (or a high school diploma / GED and a minimum of 4 years of relevant experience in Quality or Engineering) Additional 5 years of experience within a Quality or Engineering position in aircraft/spacecraft assembly or aircraft parts fabrication environment CQE /CQA preferred but not required Strong knowledge in aerospace assembly practices Skilled in use of standard Microsoft Office programs Experience with FAA Part requirements (21,25) & AS9100 standards Proven communication & presentation skills across business levels in verbal and written formats Proven analytical and organizational ability Ability to coordinate multiple projects simultaneously and lead small teams Ability to read and interpret drawing and specifications requirements, with a general understanding of geometric dimensioning and tolerance (GD&T Process improvement experience using lean or six sigma methodologies Proven data collection and analysis skills

Physical and/or Additional Requirements

    Must be able to stoop, bend, crawl, and being able to maneuver in tight Physically able to handle items weighing up to 50lbs (unassisted). Ability and willingness to meet required travel expectations: ~10%

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3311-3293-11534
Job Location: Mojave CA
Per Diem: --
Overtime: --
Start Date: 2022-05-02
Input Date: 03/17/2022
Last Updated: 11/23/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Elle King
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Liaison Engineer II

Description:
Job Description
    Do you enjoy designing and developing innovative solutions to solve tough problems? Join our team to deliver technological solutions in a rapid and agile production environment. Our Mechanical engineers research, design, develop, test, certify, deploy and improve cutting edge products and services. These cover a very wide range from orbital systems to space exploration systems. You'll conceptualize and deliver complex mechanical structures and systems and your technical duties include the design and development of new products and processes throughout the product lifecycle.

    Liaison engineering team members are responsible as Design Engineering's primary interface for manufacturing floor activity for Uncrewed Dream Chaser (UDC) and Cargo Module (CM) fabrication on the CRS2 program. Liaison's goal is to ensure design requirements are met between production, planning, and supply chain, while maintaining schedule. Through a detailed understanding of the build processes, engineering change processes, design requirements and extensive collaboration with the internal teams designing and managing installations, this team is a key stakeholder to ensure that the overall build of UDC and CM design achieves all objectives.

    The ideal candidate will excel in a demanding, fast-paced, and evolving environment where they will work as part of a strong, agile and highly motivated team to accomplish the first of its kind in the private industry, flying spacecraft. This individual takes pride in his/her thoroughness, emphasis on follow through, interorganizational communication skills and attention to requirements conformance. The candidate will be able to problem solve from the component level to installation level addressing often first time problems and use strong logic and engineering tools to come up with solutions to problems that meet drawing and document requirements. A successful applicant will have the ability thrive in a challenging multidisciplinary build environment, collectively solving build problems that require collaboration and agreement of various organizations including but not limited to Design, Quality, and Manufacturing engineering.

    Responsibilities:

      Nonconformance (MRB):

        Assess, disposition, analyze, justify, determine cause and relay improvements for build discrepancies, errors and other nonconformances

        Provide guidance on when NC's are appropriate vs other engineering change options, enforce process standards, and provide streamlined support to quickly address and close.

        Understand, prioritize, communicate and execute nonconformance against program schedule.

      Engineering Change:

        Develop and utilize knowledge of various engineering change options, determine and execute the appropriate change option that creates the shortest path and meets engineering requirements for each unique problem.

        Document, prioritize, communicate, and track required engineering changes fed back to the spacecraft design organization for resolution.

        Work closely with manufacturing, quality, planning, and procurement teams to address changes and shepherd them through fruition on the production floor.

        Contribute to innovative, time saving, and cost-effective improvements to help streamline engineering change and response times.

      Drawings, Processes and Technical Specifications:

        Provide guidance to technicians, engineers, and quality assurance engineers related to drawing and spacecraft requirements by overseeing installations, providing interpretation, and information briefs on key topics.

        Support the development and maintenance of program specific technical specifications, and follow through on execution of these specifications to drive efficiency.

        Contribute to development and execution of standard repairs, analysis methods, and acceptable defects to streamline defects processing through MRB.

        Monitor existing processes and nonconformance trends to develop tools and other methods to reduce manufacturing build hours and quality issues, increase production rates, and remove waste from complex processes.

    Must-haves:

      Bachelor's degree in aerospace, mechanical, industrial or manufacturing engineering

      3+ years, with 1 year in a manufacturing environment preferred (1+year with MS)

    Preferred:

      Experience in design and build of primary and secondary composite structures

      Experience in design and build of metallic structures and fittings

      Proficient or familiar with hand calculation methods for structural analysis

      Aerospace propulsion, hydraulics, mechanisms design and build experience desired

      Proficiency preferred in NX CAD, but experience is another CAD package is acceptable

      Familiar with typical PLM architecture

      Experience with utilizing, developing, optimizing, interpreting and maintaining processes, specifications, and work instructions

      Takes initiative, tenacious, and highly energetic

      Able to adapt to a fast-paced and evolving work environment

      Confident and competent in communication across departments and at different levels of leadership

      Experience driving cross-functional changes to address specific technical problems

      Experience monitoring, tracking, and continually improving design via root cause analysis

    IMPORTANT NOTICE:

    To conform to U.S. Government international trade regulations, applicant must be a U.S. Citizen, lawful permanent resident of the U.S., protected individual as defined by 8 U.S.C. 1324b(a)(3), or eligible to obtain the required authorizations from the U.S. Department of State or U.S. Department of Commerce.

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2784-2768-11534
Job Location: Louisville CO
Per Diem: --
Overtime: --
Start Date: 2022-02-23
Input Date: 01/26/2022
Last Updated: 01/25/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Matthew McCombs
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Light Industrial - Material Handler

Description:
Performs manual and clerical shipping and/or receiving duties which may require the use of hand trucks, forklifts, and other devices, as well as computers to maintain data. Receives incoming materials or prepares materials for shipment. Verifies quantity, weight, and conformance of materials; prepares records of materials shipped and bills of lading; routes materials to destinations. May lay out, fabricate, and assemble nonstandard shipping crates and boxes, and prepare parts and tooling for special shipment. Builds wood crates, boxes, shelving, and other wood work required for operations. Must be able to use power operated tools and read measuring devices.

Wood Working skills are needed.

Will be utilizing a fork lift.
Additional Skills: *Either skills or additional skills are required
Skills:
Category
Name
Required
Importance
Experience
Technical Skills Woodworking techniques and properties Yes 1 1 - 2 Years
Technical Skills Woodworking tools Yes 1 1 - 2 Years

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3371-3353-11534
Job Location: Greenville SC
Per Diem: --
Overtime: --
Start Date: 2022-04-18
Input Date: 03/23/2022
Last Updated: 02/01/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Andrew Lowden
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
MEMS Engineering Manager

Description:
A Principle MEMS Manager role located in Redmond, WA is currently available through Belcan. The Principle MEMS Manager will lead the MEMS team in all development, design, and production activities. A working knowledge of electro-magnetic, piezo-electric, and electrostatic actuation methods as well as MEMS material properties, fabrication and assembly processes is required.

Principle MEMS Manager duties:

* Own planning, scheduling, and execution of all MEMS team's activities to ensure program
milestones are achieved
* Responsible for managing and directing staff in support of all initiatives including providing
training, guidance, and mentorship
* Lead definition and validation of MEMS device requirements to meet program objectives
* Drive detailed design and analysis of electro-magnetic, piezo-electric, and electrostatic drive
MEMS devices used for sensing applications
* Collaborate cross functionally to integrate MEMS with higher level optomechanical systems and
electronic control systems
* Deliver qualification / verification test plans and results to ensure devices meets all functional,
control and reliability requirements
* Own and execute MEMS technology roadmap with responsibility for assessing competitive
approaches, vetting new technology, exploring system architecture concepts, and proposing
strategic investments and partnerships
* Drive characterization testing, data analysis, and failure analysis of prototype and pre-production
units

EDUCATION AND EXPERIENCE REQUIRED
* Master of Science in electrical engineering, mechanical engineering, material science or a related
technical field strongly preferred
* A Bachelor of Science in technical discipline and equivalent combination of education and work
experience will be considered
* Minimum 15+ years in technical field and 5+ years focused on management / leadership
* Demonstrated track record of success in the leadership and management of automotive or
consumer product engineering team
* Sound organizational skills including ability to prioritize and deploy departmental resources to
meet defined project timelines
* Experience with MEMS and electronic design and packaging related to opto-mechanical systems
* Broad technical understanding across multiple disciplines including mechanical and optical
systems, hardware, software, controls, and reliability engineering
* Familiar with modeling and analysis of electro-magnetic, piezo-electric, and / or electrostatic
behaviors related to MEMS actuation
* Demonstrated experience in volume manufacturing of hardware and software product working
with customers, contract manufacturers and suppliers
* Experience with design for manufacturability and new product development
* Semiconductor manufacturing background
* Hands on experience and willingness to learn new technologies
* SPC and Six Sigma certification or training or equivalent (preferred)

If you are interested in this Principle MEMS Manager role located in Redmond, WA please apply via the 'apply now' link provided.

Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers' better outcomes through adaptive and integrated services-from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for over 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.


 
Job Number: 319501
Job Location: Redmond, WA
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 01/12/2022
Last Updated: 05/17/2022
Firm Name: BELCAN TECHSERVICES
Attention: DerrickHart
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manager – Mechanical Design Engineering

Description:
A Manager - Mechanical Design Engineering job in San Diego, CA is currently available through Belcan. In this role, you will be responsible for leading, directing and developing the Engineering Design team. You will also provide engineering process direction and leadership to design engineers, supporting the development of new composite products, tooling, and processes. To be considered for this role, you will have a Bachelor's degree in Mechanical Engineering, or a related discipline, and 10 or more years of recent experience in aerospace design and/or composite manufacturing.

Manager - Mechanical Design Engineering Job duties:

* Works closely with internal production resources and external vendors to ensure parts are designed for manufacturability, safety, and reliability.
* Provide guidance regarding design/manufacturing concepts and specification requirements to best utilize equipment and manufacturing techniques.
* Promotes and aids in the standardization and documentation of design and engineering best practices.
* Leads a team in the development of new parts, manufacturing processes, tooling, and procedures.
* Understands program budgetary and schedule constraints.
* Collaborates, consults, and coordinates with all stakeholders including Business Development, Operations, Quality Control, Finance, Supply Chain, and Project Management.

Qualifications:

* Demonstrates expertise with complex mechanical and aerospace engineering solutions.
* Advanced understanding of engineering drawings and GD&T. Able to train engineers in the proper execution of GD&T per ASME Y14.5.
* Advanced understanding of composite manufacturing processes including layup and cure, bonding, and assembly.
* Advanced understanding of composite layup tooling and complex assembly fixtures and alignment jigs.
* Strong interpersonal, verbal, and written communication skills to drive tasks to completion.
* Ability to provide both constructive criticism and praise when warranted to foster the growth of members in the engineering group.

If you are interested in this Manager - Mechanical Design Engineering position in San Diego, CA please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 324266
Job Location: San Diego, CA
Rate: --
Per Diem: --
Overtime: --
Duration: JOB-CONTRACT_TYPE
Start Date: --
Input Date: 05/12/2022
Last Updated: 06/09/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer

Description:
JOB SUMMARY:

Designs manufacturing processes, procedures and production layouts for assemblies, equipment installation, processing, machining and material handling.
Designs arrangement of machines within plant facilities to ensure most efficient and productive layout.
Designs sequence of operations and specifies procedures for the fabrication of tools and equipment and other functions that affect product performance.
Adapts machine or equipment design to factory and production conditions.
May incorporate inspection and test requirements into the production plan.
Inspects performance of machinery, equipment, and tools to verify their efficiency, and investigates and initiates corrective action of problems and deficiencies to ensure product quality.
Develops manufacturing processes that are applicable to statistical process control, and may develop those techniques.
Provides guidance to engineering regarding design concepts and specification requirements to best utilize equipment and manufacturing techniques.
Ensures processes and procedures are in compliance with regulations.

Hiring Manager would consider entry level candidates with a Mechanical or Industrial Engineering Degree
BA Degree is Required.
Looking for candidates with some Manufacturing Experience.

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3464-3446-11534
Job Location: Liverpool NY
Per Diem: --
Overtime: --
Start Date: 2022-06-09
Input Date: 03/31/2022
Last Updated: 01/25/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Andrew Lowden
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer Level 2

Description:
Chipton-Ross is seeking a Manufacturing Engineer Level 2 for a contract opportunity in Ocala, FL.

RESPONSIBILITIES:
Designs manufacturing processes, procedures and production layouts for assemblies, equipment installation, processing, machining and material handling. May incorporate inspection and test requirements into the production plan. Inspects performance of machinery, equipment, and tools to verify their efficiency, and investigates and initiates corrective action of problems and deficiencies to ensure product quality. Develops manufacturing processes that are applicable to statistical process control, and may develop those techniques. Provides guidance to engineering regarding design concepts and specification requirements to best utilize equipment and manufacturing techniques. Ensures processes and procedures are in compliance with regulations. Trains technicians on assembly process. Investigates root cause and corrective action for defective hardware. Creates rework instructions for hardware.

REQUIREMENTS:
Minimum 2 years of professional experience.

Desired Skills:
Experienced with SAP, experienced with Microsoft Office (PowerPoint, Word, Excel). Knowledge of electro-mechanical assembly, industrial processes, technical writing, and teaming. Strong written and verbal communication skills. Knowledge of Lean manufacturing concepts and techniques, Pro-E, or equivalent CAD software, tool design, electronic, packaging design, and MRS, concepts. Leadership experience. Experience in a Manufacturing environment.

EDUCATION:
Accredited Bachelor's degree in Mechanical Engineering or Aerospace Engineering or related discipline from an accredited college.

WORK HOURS:
Full-Time
This is a 2nd shift position - Monday - Thursday 3 pm - 1:30 am.

 
Job Number: 197365
Job Location: Ocala, FL
Rate: Up to $43.00 DOE
Duration: 6 months
Input Date: 04/20/2022
Last Updated: 06/13/2022
Firm Name: CHIPTON ROSS
Attention: Robert Davis
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer, Tooling, Composites

Description:
Responsibilities:
Be the company representative onsite at the supplier to help them translate engineering intent, drawings, and configurations into fully functional hardware.

Provide onsite oversight at the supplier.
Review supplier work instructions, procedures, techniques, materials and processes, supply chain, nonconformance reporting and resolution, and obsolescence issues for composites.
Review supplier tooling designs for composite fabrication and assembly.
Lead supplier schedule recovery efforts while ensuring requirements related to technical performance, quality, and services are met.
Ensure that hardware and equipment is manufactured, processed, packaged, and delivered properly, on time, and on budget.

Qualifications:
•Minimum of a B.S. degree in aerospace, mechanical, industrial, or manufacturing engineering; physics; or other major requiring engineering or manufacturing core courses. Composites curriculum is a plus
•7+ years' experience working in composites development or production in hands-on roles
•Expertise in composite hand layup, autoclave cure, trim/drill, tooling, and assembly
•Experience with supplier development for new product introduction
•Demonstrated experience with business communication, can effectively communicate with business, technical and operational teams
•Ability to earn trust, maintain positive and professional relationships, and contribute to a culture of inclusion.
•Must be a U.S. citizen or national, U.S. permanent resident (current Green Card holder)
 
Job Number: CA2325
Job Location: Woodland Hills CA, CA
Per Diem: offered
Overtime: Possible
Duration: 12 ++ Months plus
Start Date: 2/3 wks from offer
Input Date: 01/03/2022
Last Updated: 10/31/2022
Firm Name: ADVANCED TECHNOLOGY
Attention: Domenic
Address: 15 KENNETH MINER DR
City, State: WRENTHAM, MA 02093
800 Phone: 877/334-7700
Fax Phone: 877/335-7700
Website: www.advancedtechno.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Material Coordinator

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Job Description Summary
The Material Coordinator coordinates and expedites flow of material, parts, and assemblies within or between departments in accordance with production and shipping schedules or department supervisors' priorities. In this job, the Material Coordinator reviews production schedules and confers with department supervisors to determine material required or overdue and to locate material, requisitions material and establishes delivery sequences to departments according to job order priorities and anticipated availability of material; arranges for in-plant transfer of materials to meet production schedules, and with department supervisors for repair and assembly of material and its transportation to various departments, and examines material delivered to production departments to verify if type specified. This Worker may monitor and control movement of material and parts along conveyor system, using remote-control panel board, compute amount of material needed for specific job orders, applying knowledge of product and manufacturing processes and using adding machine; compile report of quantity and type of material on hand, move or transport material from one department to another, using hand or industrial truck; may compile perpetual production records in order to locate material in process of production, using manual or computerized system, and maintain employee records.
Must be flexible to work all shifts and OT is possible

High School Diploma or Equivalent
1) Able to read and interpret work orders, requisition part lists, specifications, shipping and receiving documents, etc.
*2) Ability to document and maintain material requisitions, picking instructions, etc
*3) Able to apply basic mathematics to count, add, subtract, inventory parts and supplies, etc.
*4) Able to set up and operate a variety of hand tools: hammers, crowbars, wire counters, etc.
*5) Able to fabricate special containers as required for shipment of parts per Company and customer specifications.
*6) Able to set up and operate a variety of simple measuring devices: scales, etc
7) Able to operate company vehicles and other GSE equipment required for delivery and storing of material and supplies.
*8) Able to operate a terminal or computer to input, retrieve and interpret information regarding ordering, inventory and tracking of stock.
*9) Able to set up and operate stationary and portable barcode readers, pan stock counting and packing equipment and banding equipment.
*10) Able to operate overhead cranes, hoists, side loaders, forklifts, tow motors, etc..
Additional Skills:
Skills: High school or GED

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3624-3606-11534
Job Location: San Antonio TX
Per Diem: --
Overtime: --
Start Date: 2022-06-10
Input Date: 04/19/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Material Coordinator - VC

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

The Material Coordinator coordinates and expedites flow of material, parts, and assemblies within or between departments in accordance with production and shipping schedules or department supervisors' priorities. In this job, the Material Coordinator reviews production schedules and confers with department supervisors to determine material required or overdue and to locate material, requisitions material and establishes delivery sequences to departments according to job order priorities and anticipated availability of material; arranges for in-plant transfer of materials to meet production schedules, and with department supervisors for repair and assembly of material and its transportation to various departments, and examines material delivered to production departments to verify if type specified. This Worker may monitor and control movement of material and parts along conveyor system, using remote-control panel board, compute amount of material needed for specific job orders, applying knowledge of product and manufacturing processes and using adding machine; compile report of quantity and type of material on hand, move or transport material from one department to another, using hand or industrial truck; may compile perpetual production records in order to locate material in process of production, using manual or computerized system, and maintain employee records.
Req is supporting VC25B. Contractors will require site PL1 access and docs.

Position Rates and Benefits governed by the Service Contract Act. Occupational Code/Title: 21030/Material Coordinator.

SCA Requirement- All candidates must be submitted at SCA rates below-
Pay Rate- $27.22($$22.62 + includes H and W 4.54/hr).
Keywords: expedites flow of material, parts, and assemblies, requisitions material, arranges for in-plant transfer of materials, using manual or computerized system.
Additional Skills:
Skills: High school or GED

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3648-3630-11534
Job Location: San Antonio TX
Per Diem: --
Overtime: --
Start Date: 2022-05-31
Input Date: 04/19/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Material Handlers

Description:
STS Technical Services is hiring Material Handlers in Menomonee Falls, Wisconsin.

Position Overview:

The Material Handling B position works in the warehouse or plant and picks, packs, ships, receives, tracks, and moves raw materials, parts and finished goods. This position may need assistance in performing some of the material handling activities listed below.

Pay Rates / Hours / Location:
Starting Rate of Pay: $20.00/hour
Sign-on Bonus: Up to $1500!
Shift: 4:50 am – 2:50 pm | Monday – Thursday
Location: Menomonee Falls, WI – Right off the interstate at the corner of Hwy 41 and Pilgrim Road
Position Perks:
Direct Hire Openings
1st Shift
4 day work week
Tuition Reimbursement
Certification Assistance
Career Growth Opportunities
Self- Paced Advancement
Overtime available
Quarterly Bonus Potential
On-site Gym
Free Refreshments
Essential Duties & Responsibilities:

Activities of the position include, but are not limited to the following:

Gets work assignment from Supervisor, Lead person or scheduling tools.
Moves materials, parts, and products – using forklift, reach trucks, order pickers, and/or carts, with assistance as needed.
Picks and packs Domestic and International parts and machine orders – with assistance as needed.
Accurately tracks parts, products, and materials according to procedures using system tools, with assistance as needed.
Receives supplier shipments, customer returns, and other incoming transactions, with assistance as needed.
Ships Domestic and International orders, with assistance as needed.
Performs housekeeping duties.
Performs cycle counting for both parts and machines, with assistance as needed.
Assists other work areas or departments as needed.
Assists in cross-training others.
Performs general daily maintenance activities.
Has the ability to work in a group setting, teamwork.
Consistently assists others as needed to promote safety, quality and productivity.
Essential Functions:
Picks, packs and processes (within the system) parts orders for WLA
Picks parts for orders within the plant.
Processes finished goods for WLA.
Receives products from suppliers.
Labels, puts away and processes (within the system) parts and machine orders for Domestic and International customer orders.
Receives finished goods from WC, WLE, WAP and all outside suppliers.
Labels, puts away and processes all incoming parts for stock.
Receives and processes all customer returns.
Operates material handling equipment (i.e. Forklifts, reach trucks, pack mule carts)
Qualifications / Prerequisites:
A H.S. diploma or equivalent is required.
English literacy.
Basic math skills / ability to do this job.
Basic blueprint reading skills/ability to do this job.
Proficiency in using basic gauges and measuring instruments.
Physical ability to do the job.
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 37887
Job Location: Menomonee Falls, WI
Input Date: 06/28/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Samantha Stark
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Materials Test Technician

Description:

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Your Mission
    Prepare and conduct tests of composites for mechanical properties
      E.g.: flexural, tensile, compressive strength, modulus, elongation cantilever testing, etc.
    Fabricate test article instrumentation, electro-mechanical assemblies and test equipment wire harnesses Assist engineers and technicians on R&D and other projects as needed Set up, calibrate, and fabricate test components and carry out laboratory, assembly and vehicle testing Bonding and machining of test coupons. Collect test data and report results to designated managers and engineers. Assist in the design and fabrication of special test fixtures and test setups Operation of test equipment (LabVIEW, United and Instron mechanical test machines, environmental chambers, vibration tables, and altitude chambers) Knowledge of shop floor manufacturing techniques and inspection technology preferred
What you bring
    2+ years of experience in a testing, aerospace, manufacturing, or a similar highly controlled environment preferred. Experience with the following:
      Schematics, engineering drawings, circuit layouts and parts lists Cable harnesses: Wire diagrams, layout drawings, and parts lists Mechanical assemblies: Mechanical drawings and parts lists Instrumentation: strain gages, extensometers, load cells, and pressure transducers.
    Experience performing electronic testing and inspection using portable measuring devices. Computer software proficiency, preferably including laboratory or industrial applications, and technical writing skills Must possess excellent interpersonal and verbal communication skills, and be able to maintain good will in a potentially adversarial role Requires basic understanding of electronics, test equipment, mechanical test methods and electro-mechanical testing Capable of running tension/compression test machine and related software. Applicants must be U.S. persons as defined by the ITAR (22 CFR 120.15)

Preferred Skills and Experience

    Structural or Systems test experience

Physical and/or Additional Requirements

    Must be able to work all shifts and available for overtime as well as weekends when needed. Must be able to stand for extended periods 6 hours min. Must be able to stoop, bend, crawl, and being able to maneuver in tight spaces. Medium work: Exerting up to 50 pounds of force occasionally, and/or up to 20 pounds of force frequently, and/or up to 10 pounds of force constantly to move objects.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3532-3514-11534
Job Location: Mojave CA
Per Diem: --
Overtime: --
Start Date: 2022-07-25
Input Date: 04/05/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Megan giusti
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Engineer

Description:
A Mechanical Engineer job in Indianapolis, IN is currently available through Belcan. In this role, you will have responsibility for the design development and documentation of new transducer products as well as build to print products and provide technical support to both internal and external customers. To be considered for this role, you will typically have a Bachelor of Science Degree in Mechanical Engineering or a related field and a minimum of three to five years of experience in a mechanical design environment.

Mechanical Engineer Job duties:

* Provide mechanical design expertise to support development of new products.
* Deconstruct built to print products to develop assembly and test instructions.
* Perform value analysis during the product design phase while optimizing product development costs.
* Coordinate projects and tasks with the other Mechanical and Electrical Engineers to complete assignments and projects on schedule.
* Reduce costs and improve mechanical design and development processes, using best practices and professional knowledge.
* Test and evaluate new designs, processes, techniques and/or methodologies to develop products for use in various applications/markets including medical, military, and oil and gas.
* Maintain project files and appropriate records of work.
* Evaluate and apply leading edge technologies for the purpose of creating new products and ideas, along with new application opportunities.

Qualifications:

* A thorough knowledge of manufacturing processes and techniques related to mechanical parts selection and design including material selection and fabrication techniques.
* Ability to improve products or services by applying professional and business knowledge.
* Working knowledge of the PC and related software packages. Proficient with mechanical design software such as AutoCAD, Inventor, Solid Works. Familiar with Finite Element Method (FEM) software such as ANSYS, COMSOL, etc.

If you are interested in this Mechanical Engineer position in Indianapolis, IN please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.
 
Job Number: 323231
Job Location: Indianapolis, IN
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 04/19/2022
Last Updated: 06/14/2022
Firm Name: BELCAN TECHSERVICES
Attention: ClayKnisley
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
NDT Insp Aero Vehicle Sr

Description:
Typical Duties and Responsibilities:
• Works from specifications, standards, oral and written instructions to determine those parts and assemblies that are acceptable and those that require rejection.
• Sets up NDT equipment based on type of method being performed. Radiographic Testing, Magnetic Particle, Dye Penetrant.
• Develops techniques and produces inspection reports indicating the reason for rejections (add other detail for dye pen and MT - Analyzes and interprets radiographic or fluoroscopic views to detect and identify defects such as cracks, improper wall thickness, cavities, porosity, inclusions, segregations, and incomplete fusion)
• Sets up and operates X-ray equipment in vendor and customer facilities or at outside locations.
• Performs visual weld inspections on flight hardware/non-flight tooling, produce reports document findings rejections.
• Performs inspection on lifting/rigging hardware per ASME B.30.
• Performs tool readiness reviews and documents inspection results.
• Stamps or otherwise approves parts.
• Performs incidental related duties as assigned or required.


Qualifications

•The radiographer (RT) Level 2 candidate qualifications must be in compliance with NAS410 and BSS7698.
•Level 1, 40 hours, radiography training certificate
•Level 2, 40 hours, radiography training certificate (80 Training hours total).
•RT Level 2 candidate must have at least 800 hours of documented OJT in radiography signed by the Level2/Level 3 who oversaw the on-the-job training, OR Level 1/Level 2 certification documentation signed by the Level 3 who conducted the certification.
•Industrial Field Radiography / Radiation safety per California Code Title 17. Desired but not mandatory

Start Time:
7:00 AM
End Time:
3:30 PM
 
Job Number: JPC-1782
Job Location: El Segundo, CA
Rate: 32 HR
Input Date: 10/20/2021
Last Updated: 09/30/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Diego villegas
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Operating Engineer

Description:
We have an outstanding Contract position for an Operating Engineer to join a leading engineering Company located in the Laurel, MD surrounding area.

We are seeking an Operating Engineer to help us operate, supervise and maintain all physical plant equipment on our campus. We are an experienced team of operating engineers dedicated to the support and highly efficient performance of utility systems for approximately 40 buildings containing office and research laboratory spaces.

Responsibilities:
Your primary responsibility will be to operate and monitor all physical plant equipment, including regularly checking mechanical and machine rooms to ensure full service to all facilities and special areas
You will start up and changeover equipment, complete minor preventive maintenance work and machinery history logs, and respond to facility service outages by making immediate repairs or initiating a recall of appropriate personnel
You will monitor and control equipment operations through the building automation system and respond to alarms that may require reset or to perform emergency repairs to restore normal facility operations
You will secure and restore utility services as required during emergency situations or unscheduled outages

Basic Hiring Criteria:
High school diploma or equivalent
Minimum 4 - 7 years of formal on-the-job training (each year of accredited school equates to one year of training) acquired at the journeyman level or beyond
Possess a Maryland Second or First Grade Stationary Engineer's license and a valid state of residence driver's license

Desired Qualifications:
Have experience using hand tools, power tools, and test equipment
Have a solid understanding of applicable Plumbing and Mechanical operations with the ability to read blueprints, sketches, O&M Manuals, and diagrams
Are capable of working independently during both routine and emergency situations
Are an effective communicator with basic computer literacy
Are willing and able to work a 12-hour watch-engineer shift
Wear personal protective equipment
Can operate vehicles and industrial equipment
Are willing to work outside during inclement weather and walk to complete rounds throughout our campus
Are able to work overtime as the need arises and assist other trades as advised
Have familiarity with Johnson Controls METASYS and DSC building automation systems
Have experience in emergency response, hazardous materials handling, and applicable OSHA/EPA regulations, or CFC Certification

This particular client is requiring that all new hires show proof of vaccination. However, accommodations will be made for those with disabilities or religious reasons who cannot obtain a vaccine.
 
Job Number: 49070
Job Location: Laurel, MD
Duration: TTH
Start Date: ASAP
Input Date: 02/25/2022
Last Updated: 05/09/2022
Firm Name: TAD PGS INC
Attention: Kim Silcott
Address: 12062 VALLEY VIEW ST STE 108
City, State: GARDEN GROVE, CA 92845
Phone: 657/250-1893
800 Phone: 800/261-3779
Website: www.tadpgs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Payload Design Engr 3 -Hybrid

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Develops, integrates and documents structural and interior payload system requirements to establish the system design. Develops,
maintains and modifies structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other
design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers
throughout the product lifecycle. Performs, integrates analytical and test results to validate and verify systems and components meet
requirements and specifications. Manages supplier development, test and production activities and coordinates with the supplier to optimize
the design and achieve program goals. Develops new design/analysis processes and tools to improve the effectiveness, quality and
efficiency of the development effort. Investigates emerging technologies to develop concepts for future product designs to meet projected requirements. Works under general direction.

Position Responsibilities:

Perform 15.4 DE responsibilities
Plan and perform payload analysis work at the sub component level to assure total compliance with structural integrity and
requirements.
Providing solution for an entire Company portfolio inside a problematic supplier
Reviews and approves analysis.
Guide a team of less experienced engineers and teach DE methodology.
Work closely with Team manager and Leads to assist other team members.
Work with project engineers, stress, suppliers and procurement to ensure approval of analysis.
Present team independently during interaction with suppliers
Work and coordinate with other engineering groups to ensure requirements are met.
Develop analytical process to improve effectiveness, quality and efficiency.
Experience in design release processes, policies and procedures, handling tooling issues, supplier driven changes
Being able to Interpret Industry/Company Standards & Processes
Some tools to be familiar with are CatiaV5, Enovia, STEALTH, QMAP, REDARS, ETAC, ESPEC, Bridge and other industry
engineering tools

8+ years of experience in Structure and/or Payload DE5+ years of experience in a commercial aircraft environment
5+ years of experience in a commercial aircraft environment
Must have at least a Bachelor's degree in Aerospace, Civil, Mechanical, or Structural Engineering2D & 3D design with some knowledge of geometric dimensioning and tolerancing, GD&T.
Experience in design release processes, policies and procedures, handling tooling issues, supplier driven changes.
Must have a Structure DE Certification
Nice have a Company DE Cert
Experience in design release processes, policies and procedures, handling tooling issues, supplier driven changes.
*Please only submit candidates that live in Oklahoma, Dallas, Long Beach, or San Antonio. Please indicate their location when submitting

*Hybrid schedule and must be available to work onsite in their city 2 maybe 3 days a week.

*Traditional schedule, must be able to start 7am PST. 9*80 schedule is an option for those who are interested
Additional Skills:
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 5 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD, Master+3 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2845-2829-11534
Job Location: Long Beach CA
Per Diem: --
Overtime: --
Start Date: 2022-05-05
Input Date: 02/03/2022
Last Updated: 02/01/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Engineering Technician

Description:
A Principal Engineering Technician job in Irvine, CA is currently available through Belcan. In this role, you will be responsible for performing wide variety of the most complex technical tasks to support, design, develop, modify and improve equipment, tools, processes, product, procedure and documentation. To be considered for this role, you will have an Associate's Degree in a related discipline and 7 or more years of relevant experience. Due to the nature of the work performed in this facility, US Citizenship is required.

Principal Engineering Technician Job duties:

* Use of complex electronic test equipment including spectrum analyzers, oscilloscopes, RF signal generators, and waveform analyzers Use of complex electronic test equipment including spectrum analyzers, oscilloscopes, RF signal generators, and waveform analyzers.
* Implement test set-ups, perform tests, collects results, and maintain appropriate logs.
* Provide technical expertise in problem analysis and solutions utilizing complex instrumentation and software.
* Assists in the development of new/revised product and programs.
* Develops test specifications and procedures as required.

Qualifications:

* Experience of RF communications such as COFDM, WiFi, or LTE would be a strong advantage.
* Experience in debug and test of baseband and RF electronics.
* Ability to read and understand electrical engineering drawings, including schematics, layouts and other technical documents.
* Practical skills should include miniature surface mount soldering under microscopes.
* Hands-on skills to disassemble and re-assemble complex electronic devices.
* Ability to generate documents, spreadsheet data analysis, test software and complex block diagram creation.

If you are interested in this Principal Engineering Technician position in Irvine, CA please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 323873
Job Location: Irvine, CA
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 05/06/2022
Last Updated: 05/26/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Physical Design Engineer

Description:
A Principal Physical Design Engineer role located in Chandler, AZ is currently available through Belcan. The Principal Physical Design Engineer will drive the successful execution of microcontroller and microcontroller based SOC designs. To be considered for this position, Extensive Physical Design experience is a requisite. The successful candidate will have a minimum of 7 years or more of applicable technical experience in Physical Design and relevant areas.

Principal Physical Design Engineer duties:
* Implement complex mixed signal low power designs using state-of-the-art FLASH process.
* Utilize both flat and hierarchical flows to implement designs with more than 1M instances.
* Work independently and efficiently on assigned project responsibilities from new design exploration through delivery of GDS (netlist to GDSII flow).
* Metal only ECO implementation.
* Physical Design support for test chip shuttles. This includes development work associated with new concepts and flow.
* Work on Physical Design flow, utility, and methodology development, including documentation.
* Power integrity and reliability analysis, and sign off.
* Floorplan exploration and optimization for die size estimation. Bondout approval.
* Power domain/voltage area-based floor planning. Power grid planning and implementation.
* Post-route timing optimization and timing closure. Timing/Signal Integrity analysis.
* Chip and block level implementation.
* Flow and methodology development.
* Physical Verification (DRC/LVS).
* IR drop and EM signoff.
* Timing IPO and metal only ECO implementation.

EDUCATION AND EXPERIENCE REQUIRED:
* Expertise in Physical Design activities: Floor-planning, CTS, P&R, Extraction, Power, IR/EM, Physical Verification (DRC/LVS), Signal Integrity, physically aware timing closure etc.
* Advanced knowledge of place and route methodologies, low power physical design flows, and methodologies.
* Expertise with physical implementation and analysis tools such as Innovus or ICC/ICC2, Calibre, Redhawk, Starrcxt or QRC etc.
* Experience in scripting (TCL, PERL & Shell) and working knowledge of HDL (Verilog, VHDL).
* Excellent analytical and debugging skills and the ability to proactively solve issues.
* Independent and self-directed individual with excellent oral and written communications skills.
* Ability to lead and mentor others and work under challenging environment.
* Experience with 40nm technologies is required. Working knowledge of technology nodes of 28nm and below would be a positive addition to the skill set.
* Several chip tapeouts with top/chip level lead experience is desired.


If you are interested in this Principal Physical Design Engineer role located in Chandler, AZ please apply via the 'apply now' link provided.

Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers' better outcomes through adaptive and integrated services-from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for over 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 320605
Job Location: Chandler, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 02/09/2022
Last Updated: 05/17/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Product Data Mgmt Engr 2

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Description:

Product Data Management Engineer 2

Participates in the development and management, and performs limited analysis of process and product baselines. Works under general direction to coordinate and conduct product and subsystem level technical design reviews and audits for new and derivative products. Performs routine analysis of product trades and/or changes and assists in the development of change proposals. Participates in the development and implementation of Configuration and Data Management standards, processes, systems and tools. Utilizes data gathered from customers to assist in the definition of Configuration and Data Management requirements for product hardware, software and engineering design data systems. Assists in the integration, routine analysis and resolution of issues with engineering product structure. Assists with the development and implementation of engineering technical program plans of limited scope including impacts, risks and incorporation of lessons learned. Works under general supervision.

Employee should have knowledge of Microsoft Office (Word, Excel and PowerPoint). Run meetings. Ability to review engineering drawings. Experience with Mil Specs and Handbooks for managing configuration control. Experience with Chinook is preferred.

Level 2 Qualifications:
- Bachelors degree or higher in Engineering
- Familiar with Mil-HNBK-61A, EAI-649 and International Configuration Management standards.
- Familiar with the scope required in a Configuration Management Plan.
- Capable of reviewing Class 1 vs. Class II changes.
- Knowledgeable of Engineering Change Proposal (ECPs).
- Familiar drawing standards to Engineering Change Notices (ECNs).


--------------------------------------------
Skillset Keywords: Equipment Knowledge, Customer Knowledge, Engineer Knowledge/Comprehension, Design Concepts & Techniques, Engineering Processes, Analytical Skills (Technical), Systems Thinking, Quality, Safety Awareness, Business Acumen, Innovation/Creativity, Customer Focus, Continuous Learning, Communication, Design/Produce Process, Government Laws/Regulations, Tech Risk Management & Perf Measure, Engineering Config/Data Mnmt, Process Management Skills, Product Life-cycle, Operational Analysis, Industry Awareness, Analysis/Design Optimization
AWS - every other Friday off - 9x80 option

Contractors on a 9x80 schedule should have their assignment rate cards updated in Beeline for correct billing

1st shift has flexible start between 5am-9am

Currently working virtually; HM is open to someone who is not local if they have good experience; someone who needs more training would need to be able to go onsite to start
Successful completion of OJT is a contingency for this position

Engineering Degree
- YES: Mechanical, electrical, aerospace
- NO: Civil or Nuclear wouldn't be a fit

Which other industries translate well to this position?
- Shipboard, Configuration management, Navy, DOD

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a robust 401K offering. In some cases there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 1813-1816-11534
Job Location: Ridley Park PA
Per Diem: --
Overtime: --
Start Date: 2021-12-13
Input Date: 12/10/2021
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Kimra Stone
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Product Review Engineer 4 -Liaison Engineering

Description:

Very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Description:

Applies broad knowledge of design and/or engineering principles. Assesses and resolves product/process issues through the product lifecycle. Leads research of technical, operational and quality issues that cannot be resolved by customer and designs interim and final engineering solutions. Leads others to analyze, conduct root cause analysis and develop dispositions for design non-conformances. Develops and implements product/process improvements. Uses innovative approaches and unique applications to address complex nonconforming conditions and to detect deviations. Analyzes reported problems for potential safety issues; recommends and manages complex resolution. Leads develop of interim and final solutions. Provides design phase subject matter expertise by supporting Integrated Product Teams (IPT) and participating in design reviews. Represents the engineering community in the build through post production environment. Ensures supplier and build partner compliance with standards. Develops customer correspondence for continued safe operation and maintenance of equipment. Leads activities for on-site disabled product repair teams and accident investigation or support teams. Designs appropriate jacking and shoring schemes. Leads the design of interim structural repairs and conducts static strength analysis. Develops and leads non-destructive test procedures, tools and standards. Ensures supplier and build partner compliance with standards. Develops and implements technical training curriculum for internal or external customers.

The Liaison Engineer onsite at NOLA will be expected to work within the guidelines of the St. Louis Material Review Board engineering processes providing repair dispositions as needed. In addition expectation will also be to provide engineering guidance to the site touch labor and support personnel. Both electrical and structural concerns will need to be addressed. This will include drawing and spec interpretation, alternate part and material research and recommendations, TCTO review and recommendations, repair coordination, schedule and work prioritization, work book/planning review and suggested improvements, tooling review and revision coordination, and metrics development and reporting. The Liaison Engineer responsibilities will widen to include typical Industrial Engineering, Manufacturing Engineering and project coordinator duties, similar to a project manager. A familiarity with Boeing F-15 Designers, Strength Analysts, Material and Process Engineers as well as Mods and Maintenance activities on the platform is a plus, as well as platform design techniques, structural and electrical hardware.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a robust 401K offering. In some cases there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2323-2314-11534
Job Location: New Orleans LA
Per Diem: --
Overtime: --
Start Date: 2022-02-01
Input Date: 11/09/2021
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Kimra Stone
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Product Review Engineer 5

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Description: Applies advanced knowledge of Company design and/or engineering principles. Assesses and resolves product/process issues through the product lifecycle. Leads research of technical, operational and quality issues that cannot be resolved by customer and designs interim and final engineering solutions. Directs cross-functional teams to analyze, conduct root cause analysis and develop dispositions for complex design non-conformances. Leads investigations into complex multidiscipline system related events. Uses innovative approaches and unique applications to address complex nonconforming conditions and to detect deviations Assesses complex system events reports to determine potential safety issues. Analyzes reported problems for potential safety issues. Develops and implements product/process improvements. Leads development of interim and final solutions. Provides design phase subject matter expertise by supporting Integrated Product Teams (IPT). Represents the engineering community in the build through post production environment. Oversees documentation of hardware problems. Identifies the need for technical training. Leads activities for on on-site disabled product repair teams and accident investigation or support team. Reviews and approves design and operational concepts for new designs. Designs complex jacking and shoring schemes. Leads the design of interim structural repairs and conducts static strength analysis. Develops and leads non-destructive test procedures, tools and standards.

The Liaison Engineer onsite at NOLA will be expected to work within the guidelines of the St. Louis MRB engineering processes providing repair dispositions as needed. Past MRB Authority experience required. In addition expectation will also be to provide engineering guidance to the site touch labor and support personnel. Both electrical and structural concerns will need to be addressed. This will include drawing and spec interpretation, alternate part and material research and recommendations, TCTO review and recommendations, repair coordination, schedule and work prioritization, work book/planning review and suggested improvements, tooling review and revision coordination, and metrics development and reporting. The Liaison Engineer responsibilities will widen to include typical Industrial Engineering, Manufacturing Engineering and project coordinator duties, similar to a project manager. A familiarity with F-15 Designers, Strength Analysts, Material and Process Engineers as well as Mods and Maintenance activities on the platform is a plus, as well as platform design techniques, structural and electrical hardware. MRB Authority

Additional Skills:
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 14 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD+9 years' related work experience, Master+12 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2831-2815-11534
Job Location: New Orleans LA
Per Diem: --
Overtime: --
Start Date: 2022-03-28
Input Date: 02/02/2022
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Product Review Engineer 5 - 10 Openings

Description:
10 Liaison Engineer / MRB Engineer Openings Available
Good OT Available

Applies knowledge of design principles to assess and resolve product/process issues through the product lifecycle. Analyzes, conducts root cause analysis and develops dispositions for design non-conformances. Applies engineering principles to research technical, operational and quality issues that cannot be resolved by customer and designs interim and final engineering solutions. Identifies documents, analyzes reported problems and communicates deviations that could impact design intent and safety; recommends and manages resolution. Develops and implements product/process improvements. Supports Integrated Product Teams (IPT) and participates in design reviews. Represents the engineering community in the build through post production environment. Ensures supplier and build partner compliance with company standards. Develops customer correspondence for continued safe operation and maintenance of equipment. Participates in on-site disabled product repair teams and accident investigation or support team. Analyzes damage repair or structural modification to determine appropriate jacking and shoring, prevent collateral damage and assure a safe work environment. Designs interim structural repairs and conducts static strength analysis. Develops non-destructive test procedures, tools and standards. Conducts simple static strength analysis.

The ideal candidate will possess a general knowledge of manufacturing operations. Tasks are generally urgent with short flow time requirements.

Position Responsibilities
Duties will include (but are not limited to):
• Applies knowledge of design principles to assess and resolve product/process issues through the product lifecycle.
• Analyzes, conducts root cause analysis and develops dispositions for design non-conformances.
• Evaluation and analysis of airplane production discrepancies of all types, such as functional test, chemical & thermal processes, raw materials, parts, assemblies, and airplane installations, resolution of parts and/or design repairs/rework on components, assemblies incorrectly designed, incorrectly built, incorrectly installed or damaged.
• Product Review Engineers represent engineering on the Material Review Board (MRB), responding to questions and concerns from manufacturing and engineering organizations.
• Additional duties are to evaluate engineering /production data to determine corrective actions necessary for the elimination and prevention of chronic errors.
• The Product Review Engineer works across all functions to ensure corrective action is implemented. Designs responsibilities include review/approve design revisions to enable improvement in production processes, with coordination across all functions to ensure design engineering concurrence.
• Product Review Engineers are expected to demonstrate innovative approaches in process improvement activities and the ability to work with multiple disciplines within engineering, manufacturing and other organizations.
• When working with suppliers, Product Review Engineers review and audit MRB activities for domestic and foreign suppliers.

Basic Qualifications (Required Skills / Experience):
• Candidates must be willing to work on 1st, 2nd and 3rd shift along with weekends.
• This position must meet Export Control compliance requirements, therefore a “US Person” as defined by 22 C.F.R. § 120.15 is required. “Us Person” includes US Citizen, lawful permanent resident, refugee, or asylee.
• ABET accredited engineering degree
• Engineering degree in the field of Mechanical, Civil, Electrical, and Aerospace/Aeronautical.
• Must be willing to work variable shifts, including weekends and overtime. Some positions may also be rotating shifts.
• Current or previous Materials Review Board (MRB)

Skill Set:

1. MRB
2. Structural repair
3. System troubleshooting
4. Catia and Enovia
 
Job Number: 45324-1
Job Location: North Charleston, SC
Rate: $90-105/hr
Per Diem: Yes
Overtime: Yes
Duration: 6-12 months+
Start Date: ASAP
Input Date: 09/15/2021
Last Updated: 01/26/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Garrett Smart
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Production Supervisor

Description:
An Advisory need for a Production Supervisor job is currently available through Belcan in the domestic U.S. This job is a remote position that will travel and deploy to customers within manufacturing and supply chain environments. As critical project issues and needs for crisis intervention arise, this job will deploy to those customers. This job is a flexible, subcontract 1099 status. Great matching candidates will have strong manufacturing and supply chain leadership and supervisory skills capable of driving measurable solutions and outcomes for clients. In this job, you will be on site at customers and/or customer suppliers working to assess, mitigate, consult, identify, and administer outcomes.

Production Supervisor job duties:
* Deploy and travel to the customer(s) as needed.
* Act as an advisor and consult customer by working as a team member ensuring critical customer needs are met.
* Plans and assigns work, and recommends improvements in production methods, equipment, operating procedures, and working conditions.
* Provides leadership and utilizes independent judgment and action necessary to maintain normal on-going operations.
* Ensures employees perform work safely and that equipment is maintained and processes are carried out in compliance with health, safety and environment policies and procedures.
* Manages departmental performance metrics and provides regular KPI progress reports to Leadership. Supports data activities to deliver proper reports to internal and external customers.
* Ensures work meets quality standards. Addresses any non-conforming materials incidents; follows through on quality concern mitigation.
* Provides cross-functional communication with other department supervisors and managers to insure inventory control, production schedules, and on-time delivery.
* Communicate and interact with shop floor personnel, quality/process teams, customer management team, material teams, purchasing teams and other engineers involved in the process.

Qualifications:
* Proven Leader and Subject Matter Expert (SME) within manufacturing and supply chain production.
* Capable of operating onsite alone to professionally represent Belcan to support multiple parties' interests (Customer/Supplier).
* Operate with speed and precision.
* Ability to travel as needed and deploy rapidly in short notice to begin work due to assessment, supply chain disruptions, and project improvement initiatives.
* Background working with Automotive/Aerospace/Industrial OEMs, suppliers, and/or tooling and machine operations.
* Demonstrated capability to accurately assess deficiencies in manufacturing processes, and possesses the technical know-how to troubleshoot and solve complex manufacturability problems.
* Must have experience in both short and long-term improvement projects, with demonstrated capability to gain consensus and implement manufacturing process improvements.
* Proficient in their understanding and performance drivers of contracted KPIs
* Root cause analysis and lean methodologies to aid in both throughput, quality and capacity related issues.

Desired but not required skills:
* Mechanical aptitude and an understanding of design for manufacturing concepts.
* Hands on experience in the shop/manufacturing environment.
* Understanding of Mechanics of Materials and Structural Design.
* Knowledge of CAD Modeling (2D, 3D).
* Proficiency in MS Office products
* Experience with Cost reduction.

If you are interested in this Production Supervisor job that is remote and travels, please apply via the 'apply now' link provided.

Belcan is an equal opportunity employer. EOE/M/F/D/V

Build a challenging and rewarding career with an industry leader!

www.BELCAN.com


 
Job Number: 313998
Job Location: Detroit, MI
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 07/29/2021
Last Updated: 02/02/2023
Firm Name: BELCAN TECHSERVICES
Attention: AnthonyDonofrio
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Program Planning Scheduling Analyst Sr.

Description:
Inconen is looking for a Program Planning Scheduling Analyst Sr. for our client, a well-known Aerospace Company, in Savannah, GA.

**Candidates must be a U.S. Citizen or Permanent Resident**

Unique Skills:
- MS Project experience required.

Education and Experience Requirements
-Bachelor's Degree in Industrial Engineering, Business Administration, or related curriculum required or equivalent combination of education and experience to successfully perform the essential functions of the job.
-6 years formal scheduling experience with regard to planning, scheduling, and maintaining overall business analysis of managed programs.

Position Purpose:
-Under minimal supervision gathers, evaluates past and present information to develop schedules, program plans, financial and resource plans, and other duties needed to support assigned programs. Responsible for supporting the team with resource loaded plans and forecast analysis as required.

Job Description
Principle Duties and Responsibilities:
Essential Functions:
-Develop and maintain the schedule and/or financial operations of assigned programs that may include any or all of the following coordination with Programs, Engineering, Finance, Operations, Sales, and Product Support.
-Develop and utilize efficient tools for collecting and maintaining business and financial analysis data.
-Analyze data to identify financial and non-financial impacts of managed programs.
-Provide detail information to support planning and implementing development strategy analysis, including risk management and program impact analysis.
-Interfaces with the Leads and Managers to status, forecast, and build recovery plans to the master schedule.
-Conduct detail analysis with an emphasis on achieving cost and maximizing savings of the approved budget.
-Identify opportunities of improvement in planning and scheduling, cost control, productivity, capacity planning and analysis.
-Assist the suppliers in the development of performance metrics, capacity plans, employee training matrices, milestone plans and project plans.

Additional Functions:
-Provide management with project status updates, feedback, and appropriate reporting.
-Coordinate with the departmental leads and managers to flag disconnects between approved budget and schedule.
-Perform other duties as assigned.

Other Requirements:
-Requires advanced knowledge and experience with PC based software such as MS Excel, Project, Access, Word and PPT.
 
Job Number: 18160
Job Location: Savannah, GA
Rate: $41.75/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 05/04/2022
Last Updated: 06/24/2022
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Program Planning and Scheduling Analyst III

Description:
A Program Planning and Scheduling Analyst III job in Englewood, CO is currently available through Belcan. In this role, you will be responsible for using your skills and expertise to prepare, develop and coordinate our integrated master plan and integrated master schedule to meet our program objectives and ensure major projects and program schedules and plans are horizontally and vertically integrated across the enterprise. To be considered for this role, you will have a Bachelors degree in Finance, Accounting, Economics, Engineering, or a related field and 6 or more years of relevant experience. Due to the nature of the work performed in this facility, US Citizenship is required.

Program Planning and Scheduling Analyst III Job duties:

* Prepare, develop and coordinate our integrated master plan and integrated master schedule to meet our program objectives and ensure major projects and program schedules and plans are horizontally and vertically integrated across the enterprise.
* Proficiently tracking plans and schedules, performing risk analysis, identifying and resolving critical path and network logic conflicts utilizing Gantt, PERT, milestone charts, earned value management and other project management techniques.
* Evaluate the level of schedule compliance and identify reasons for completion shortfalls.


Qualifications:

* Experience with Microsoft Professional is required.
* Experience with Earned Value Management Systems (EVMS).
* Understanding and application of Critical Path Analysis and Schedule Risk Analysis.
* Understanding of and application of Schedule Performance and Heath Metric Analysis.

Pay Range: Up to $45/hr DOE

If you are interested in this Program Planning and Scheduling Analyst III position in Englewood, CO please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 322860
Job Location: Englewood, CO
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 04/08/2022
Last Updated: 05/23/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Purchasing Agent

Description:
***MUST LIVE NEAR BETHLEHAM PA****
Purchasing Agent must have 10 years Aerospace Experience

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Job Description

Responsible for planning, sourcing, and procurement of goods as required by a variety of procurement categories. The Purchasing Agent will work closely with suppliers, customers, and all departments within PMC, to ensure the customer's requirements are met, and communicate any issues quickly and accurately. This is a full time exempt, salary position and reports directly to the Supply Chain Manager.

Responsibilities

    Maintain positive working relationships with customers, suppliers, and Atlas personnel from other organizations. Approves, prepares, and issues detailed MRP requirements, schedules, manufacturing release orders, and Purchase Order Requests. Initiates and approves Purchase Orders and administers Master Purchase Agreements and Pricing Agreements as required. Develops Requests for Quotations, analyzes supplier responses, and negotiates awards. Negotiates with suppliers to obtain cost savings, economical prices, quantities, delivery dates, and payment terms according to Atlas specifications, production schedules, material requirements and company policies and procedures. Track delivery of open purchase orders and advise appropriate personnel if customer delivery is in danger of not being met Attend required meetings with complete and accurate data Maintain ethical business practices in all aspects of position as an upstanding Atlas representative Monitor any/all immediate buy needs to verify timely deliveries. Accomplish daily tasks accurately and in a timely manner (phone calls/email) Document all issues of concern and important information Understand and perform within the company's Policy Manual Ensure inventory levels monitored on procured products. Ensure profitability on procured products Develop recommendations to improve policies and procedures Minimize days/hours missed during standard business days (notify supervisor prior to any/all time away in advance when able Utilizes problem solving skills to provide solutions to problems that arise on a daily basis Understands transitional programs, and how to manage parallel production builds Knowledge of market research, market trends, data analysis and purchasing best practices Experience in negotiating contracts Able to work with minimal oversite from manager

Additional Responsibilities

    All employees are responsible for the quality of their work and implementation of the Quality Policy. Ensure that document and digital data control is in compliance with AS9100 guidelines. Has authority to stop any production order for quality concerns. Performs other similar or related duties as necessary Consistently provides professional business communication to internal & external customers and peers Strives to consistently act in accordance with normal business professionalism principles during all company events, including meetings, off-site supplier visits, audits, etc.

Job Qualifications

    High School Diploma or G.E.D., College degree preferred MRP/ERP experience highly desired Detail oriented with meticulous work habits Proficient in Microsoft Office Must have excellent interpersonal and communication skills Team Oriented

Work Environment

    Office and Industrial shop environment

Skills & Knowledge Requirements

    Atlas Employee Handbook Atlas AS9100 Quality System Atlas Safety Handbook Basic Blueprint reading Efficient in Microsoft Office; including Excel, Power-point & Word. Communication skills; phone, email Understands Supply Chain Principles

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3755-3737-11534
Job Location: Bensalem PA
Per Diem: --
Overtime: --
Start Date: 2022-05-12
Input Date: 05/08/2022
Last Updated: 11/22/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Megan giusti
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Safety Engineer, Rocket Motor & Propulsion

Description:

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Your Mission

As the Safety Engineer for Rocket Motor and Propulsion Systems Production Operations you will lead the integrated project team responsible for planning, developing, and executing both current Production Operations and the development of our next generation Rocket Motor Factory. You will be responsible for analyzing hazards and developing and meeting Safety requirements as well as developing and executing a program plan within the approved cost, schedule, and budget. This includes accountability for activities across the program lifecycle including, development, design, analysis, test, and production of our hybrid rocket motor in a new state of the art factory.

    Proactively maintain and track safety program risks and opportunities and provide mitigation plans as needed Leveraging your experience in previous manufacturing environments to help the team effectively employ safety design for manufacturability/assembly and design to cost couple with lean manufacturing processes/concepts to ensure that the next generation factory creates an effective environment for all who will utilize it Regularly report to senior leadership, internal customers, and the wider organization the team's performance in the areas of safety and environmental compliance
What you bring

Basic Qualifications

    Bachelor's degree in an engineering discipline with a preference toward aerospace, mechanical, electrical, manufacturing, safety, STEM program from an accredited Institution 5 years' experience working in and developing successful manufacturing/production safe work environments and interest and experience in occupational safety and environmental Experience providing program leadership for a multidisciplinary/functional team demonstrating a track record of success. Demonstrated success managing aerospace programs and projects ideally with a focus in manufacturing or production operations. Ensure compliance with Occupational Safety and Health Association (OSHA), Environmental Protection Agency (EPA), National Fire Protection Agency (NFPA), and State and Local requirements Interprets federal, state and company safety requirements and regulations, as they apply to rocket motor/propulsion operations, and implements policies and procedures to ensure that these operations are in compliance The candidate should possess a working knowledge of risk identification and mitigation and the management of risk principles as it relates to the assembly and testing of rocket motors/propulsion systems and their components Thorough understanding and broad application of occupational safety and health regulations, standards, principles, theories, and techniques The candidate will be responsible for identifying, analyzing and controlling occupational hazards and promoting worksite and/or product safety by applying knowledge of industrial processes, mechanics, psychology, physiology, and industrial health and safety laws Review governmental regulations and industry codes of practice for applicability to company processes, facilities, and equipment Independently analyze and make recommendations for system design, equipment and procedures to control or eliminate hazards Strong written and verbal communication skills. Able to manage multiple tasks simultaneously and thrive in a complex, and rapidly evolving production environment with multiple priorities in production setting Ability to work effectively in collaboration with diverse groups of people. Self-directed with demonstrated passion for our mission. Strong computer skills, including Microsoft Word, Outlook, Excel, Visio, PowerPoint, and Project

Preferred Qualifications

    10+ years relevant experience working in and developing successful safety programs in manufacturing/production environments Experience with safety incident tracking software and tracking projects to close Experience in development of propulsion and rocket motor programs

Physical and/or Additional Requirements

    Must be able to work all shifts and available for overtime as well as weekends when needed. Must be able to stand for extended periods 9 hours min. Must be able to stoop, bend, crawl, and being able to maneuver in tight spaces. Physically able to handle items weighing up to 40lbs (unassisted).

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3501-3483-11534
Job Location: Mojave CA
Per Diem: --
Overtime: --
Start Date: 2022-04-26
Input Date: 04/02/2022
Last Updated: 11/23/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Megan giusti
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Safety Engineer, Rocket Motor & Propulsion

Description:

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Key skills are:

Must possess and maintain a current, valid California driver's license and satisfactory driving record

CPR and First Aid Certified or ability/willingness to quickly get

Trainer for Lift Trucks/Scissor Lifts certified/able to be certified to train operators

Professional certifications achieved or in progress such as ASP/CSP/OHST etc. desirable but not required

      Two years recent full-time position related experience in the field of occupational health and safety, including the planning and execution of safety program elements, accident investigation and equipment inspection Federal, state, county, local, OSHA, O.T and EPA requirements and industry standards Proficiently use personal computer and applicable software (Microsoft Office, Excel, Access Database, and Power Point) We are looking for an ENGINEER with a strong interest or passion for safety Engineering background should include:
        Propulsion engineer OR Industrial engineer OR Mechanical/Electrical Engineer
Your Mission

As the Safety Engineer for Rocket Motor and Propulsion Systems Production Operations you will lead the integrated project team responsible for planning, developing, and executing both current Production Operations and the development of our next generation Rocket Motor Factory. You will be responsible for analyzing hazards and developing and meeting Safety requirements as well as developing and executing a program plan within the approved cost, schedule, and budget. This includes accountability for activities across the program lifecycle including, development, design, analysis, test, and production of our hybrid rocket motor in a new state of the art factory.

    Leveraging your experience in previous manufacturing environments to help the team effectively employ safety design for manufacturability/assembly and design to cost couple with lean manufacturing processes/concepts to ensure that the next generation factory creates an effective environment for all who will utilize it Regularly report to senior leadership, internal customers, and the wider organization the team's performance in the areas of safety and environmental compliance
What You Bring

Basic Qualifications

    Bachelor's degree in an engineering discipline with a preference toward aerospace, mechanical, electrical, manufacturing, safety, STEM program from an accredited Institution 5 years' experience working in and developing successful manufacturing/production safe work environments and interest and experience in occupational safety and environmental Experience providing program leadership for a multidisciplinary/functional team demonstrating a track record of success. Demonstrated success managing aerospace programs and projects ideally with a focus in manufacturing or production operations. Ensure compliance with Occupational Safety and Health Association (OSHA), Environmental Protection Agency (EPA), National Fire Protection Agency (NFPA), and State and Local requirements Interprets federal, state and company safety requirements and regulations, as they apply to rocket motor/propulsion operations, and implements policies and procedures to ensure that these operations are in compliance The candidate should possess a working knowledge of risk identification and mitigation and the management of risk principles as it relates to the assembly and testing of rocket motors/propulsion systems and their components Thorough understanding and broad application of occupational safety and health regulations, standards, principles, theories, and techniques The candidate will be responsible for identifying, analyzing and controlling occupational hazards and promoting worksite and/or product safety by applying knowledge of industrial processes, mechanics, psychology, physiology, and industrial health and safety laws Review governmental regulations and industry codes of practice for applicability to company processes, facilities, and equipment Independently analyze and make recommendations for system design, equipment and procedures to control or eliminate hazards Strong written and verbal communication skills. Able to manage multiple tasks simultaneously and thrive in a complex, and rapidly evolving production environment with multiple priorities in production setting Ability to work effectively in collaboration with diverse groups of people. Self-directed with demonstrated passion for our mission. Strong computer skills, including Microsoft Word, Outlook, Excel, Visio, PowerPoint, and Project

Preferred Qualifications

    10+ years relevant experience working in and developing successful safety programs in manufacturing/production environments Experience with safety incident tracking software and tracking projects to close Experience in development of propulsion and rocket motor programs

Physical and/or Additional Requirements

    Must be able to work all shifts and available for overtime as well as weekends when needed. Must be able to stand for extended periods 9 hours min. Must be able to stoop, bend, crawl, and being able to maneuver in tight spaces. Physically able to handle items weighing up to 40lbs (unassisted).

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3612-3594-11534
Job Location: Mojave CA
Per Diem: --
Overtime: --
Start Date: 2022-05-04
Input Date: 04/21/2022
Last Updated: 11/23/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Megan giusti
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Senior Design Engineer (Integrated Systems)

Description:
PDS Tech is currently seeking a Senior Design Engineer (Integrated Systems) for our client in San Carlos, CA

Overview

Our client is seeking a highly organized, passionate individual to join our Testing Team. As part of this team, you will design hardware to assemble, install, and perform validation and verification testing of flight critical components and systems for VTOL electric aircraft. Work may include detailed CAD design of parts and subassemblies, hands-on prototyping, and integration of components into designs, on the fly modifications of systems to match modifications on the flight hardware, etc.

Responsibilities
Design of hardware to assemble, install, and perform validation and verification testing of flight critical components and systems. This will require working closely with the Airframe and Actuators, Propulsion and Avionics, and Software teams, to capture the nuances of the components, system architectures, and operating conditions, that define the design requirements for these projects.
Develop full designs, drawing packages, BOMs, and assembly instructions for the above projects. Additionally, procure hardware from suppliers, and coordinate manufacturing with internal shops.
Lead integration of designs and ensure that the systems meet the design requirements set out from the beginning. This may involve on-the-fly modifications of designs to keep up with modifications to the hardware to be assembled, installed, or tested.
Create and implement tools to maximize Design Team productivity.
Qualifications - External
Required
M.S. in Mechanical Engineering or a relevant discipline
2+ years of work experience in relevant industry
Strong engineering knowledge and instincts
Excellent problem solving
Strong communication and social skills. The ability to work with many different people and manage working relationships
Hands-on attitude
Proficiency in Computer Aided Design, and engineering drawing creation
Experience in mechanical design and fabrication of heavily loaded components and structures, as well as high-precision components.
Experience with design and fabrication of weldments, hydraulic systems, and pneumatic systems.
Hands on machine shop skills using lathe, mill, welder, and other machine shop equipment.
Experience with project execution from initial concept development through to final commissioning of system.
Experience in machine control panel wiring and design
Pursuant to various local, state, and federal regulations, you must show proof of your full COVID-19 vaccination status prior to your start date. Exemption requests are available for bona fide religious and medical circumstances.

Desired
4+ years of work experience in relevant industry
Experience with Dassault Systems CAD, specifically using Generative Shape Design, Part Design and Assembly Design
Experience designing aerospace test equipment
Experience with design of automated hardware, including sensor selection and implementation
Experience with PLC programming and controller development for industrial equipment
Experience with hardware in the loop testing
Experience designing aircraft flight hardware and/or ground support equipment
Experience with High Voltage systems
Aircraft homebuilding or rapid prototyping experience is a plus
Experience with aircraft FAA certification processes
 
Job Number: 2210150912
Job Location: San Carlos, CA
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 6 mos
Start Date: ASAP
Input Date: 05/06/2022
Last Updated: 07/13/2022
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Joe Cairney
Address: TIME SQUARE 660 BUILDING
660 SW 39TH ST STE 215

City, State: RENTON, WA 98057
Phone: 206/763-2840
800 Phone: 800/678-8644
Fax Phone: 206/763-3283
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Senior Design Engineer (Mechanical/Electro-Mechanical)

Description:
PDS Tech is currently seeking a Senior Design Engineer (Mechanical/Electro-mechanical) for our client in San Carlos, CA

Overview

We are seeking a highly organized, passionate individual to join our Testing Team. As part of this team, you will design hardware to assemble, install, and perform validation and verification testing of flight critical components and systems for VTOL electric aircraft. Work may include detailed CAD design of parts and subassemblies, hands-on prototyping, and integration of components into designs, on the fly modifications of systems to match modifications on the flight hardware, etc.

Responsibilities
Create, and mentor others in the design of hardware to assemble, install, and perform validation and verification testing of flight critical components and systems. This will require working closely with the Airframe and Actuators, Propulsion and Avionics, and Software teams, to capture the nuances of the components, system architectures, and operating conditions, that define the design requirements for these projects.
Develop full designs, drawing packages, BOMs, and assembly instructions for the above projects. Additionally procure hardware from suppliers, and coordinate manufacturing with internal shops.
Lead integration of designs and ensure that the systems meet the design requirements set out from the beginning. This may involve on-the-fly modifications of designs to keep up with modifications to the hardware to be assembled, installed, or tested.
Manage the project status and timelines for the Integration and Testing Design Team and collaborate with Team Lead to ensure proper resource allocation to de-risk the critical paths.
Create and implement tools to maximize Design Team productivity.
Manage the hardware needs of the Design Team and provide recommendations for investment.
Qualifications - External
Required
M.S. in Mechanical Engineering or a relevant discipline
6+ years of work experience in relevant industry
Strong engineering knowledge and instincts
Excellent problem-solving skills
Strong communication and social skills. The ability to work with many different people and manage working relationships
Hands-on attitude
Proficiency in Computer Aided Design, and engineering drawing creation
Experience in mechanical design and fabrication of heavily loaded components and structures, as well as high-precision components.
Experience with design and fabrication of weldments, hydraulic systems, and pneumatic systems.
Hands on machine shop skills using lathe, mill, welder, and other machine shop equipment.
Experience with project execution from initial concept development through to final commissioning of system.
Pursuant to various local, state, and federal regulations, you must show proof of your full COVID-19 vaccination status prior to your start date. Exemption requests are available for bona fide religious and medical circumstances.

Desired
Ph.D in relevant discipline
10+ years of work experience in relevant industry
Experience with Dassault Systemes CAD, specifically using Generative Shape Design, Part Design and Assembly Design
Project management experience
Experience designing aerospace test equipment
Experience with design of automated hardware, including sensor selection and implementation
Experience with PLC programming and controller development for industrial equipment
Experience with hardware in the loop testing
Experience designing aircraft flight hardware and/or ground support equipment
Experience with High Voltage systems
Aircraft homebuilding or rapid prototyping experience is a plus
Experience with aircraft FAA certification processes
 
Job Number: 2210151739
Job Location: San Carlos, CA
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 6 mos
Start Date: ASAP
Input Date: 05/06/2022
Last Updated: 06/20/2022
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Joe Cairney
Address: TIME SQUARE 660 BUILDING
660 SW 39TH ST STE 215

City, State: RENTON, WA 98057
Phone: 206/763-2840
800 Phone: 800/678-8644
Fax Phone: 206/763-3283
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Senior Engineer II - Test

Description:
A Senior Engineer II -Test role located in Chandler, AZ is currently available through Belcan. The Senior Engineer II - Test will participate in the in-depth development and deployment of new products to ensure meeting the 'time to market' goals. To be considered for this role, you must have at least 3 years experience in a high volume manufacturing environment with product and test engineering experience in microcontrollers, microprocessor, memory and analog products.

Senior Engineer II - Test duties:
* Applied programming skills on C, C++, Java, Python programming languages. C++ class deep understanding.
* Semiconductor test technique experiences in digital, mixed signal, structural tests (DFT), BIST methodologies, analog (ADC, DAC, …), memory testing (SRAM, FLASH, …) and SIP (System In Package), Module products.
* Experience in Microcontroller test program debugging and methodologies
* Test Hardware (L/B or DIB board) schematic review and PCB debugging knowledge.
* Ability to work with various groups located around the world (Europe, Asia, US)
* Ability to drive system and process implementation
* Team player, flexible and results oriented
* Excellent analytical, project leadership, communication and interpersonal skills

EDUCATION AND EXPERIENCE:
* BS/MS or similar in electronics engineering, ECE, computer science or related engineering course
* 3 + years hands-on experience in a high volume, manufacturing environment with product and test engineering experience in microcontrollers, microprocessor, memory, analog products.
* Hands on knowledge in new product test development is desirable
* Good knowledge of IC packaging, testing, manufacturing process technologies
* Familiarity with simulation and fault grading tools preferred
* Ability to perform loadboard schematic design is a strong advantage
* English spoken and read/written fluent (French speaking, reading/writing is appreciated)

If you are interested in this Senior Engineer II - Test role located in Chandler, AZ please apply via the 'apply now' link provided.

Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers' better outcomes through adaptive and integrated services-from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for over 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 321914
Job Location: Chandler, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 04/20/2022
Last Updated: 07/14/2022
Firm Name: BELCAN TECHSERVICES
Attention: FrancisOsolnick
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Senior Paralegals

Description:
STS Technical Services is hiring Senior Paralegals for Harley-Davidson in Milwaukee, Wisconsin.

Position Overview:

This position provides paralegal guidance, direction and support to assigned areas or departments to facilitate the effective handling of legal matters. This includes researching, investigating, drafting, preparing, organizing and maintaining legal documents, forms and databases. This position provides support to legal department staff. May help guide the work of less experienced staff.

Key Deliverables:
Performs complex legal and factual research, identifying relevant judicial decisions, statutes, legal articles, codes and other pertinent material.
Reviews and monitors new and updated laws and regulations.
Drafts complex legal documents including briefs, pleadings, appeals, agreements,  contracts and legal memoranda.
Prepares written reports and correspondence.
Organizes, analyzes, cross-checks and validates information.
Checks and edits legal forms and documents for accuracy
May prepare complex legal filings, arguments, applications, declarations and motions, and help with preparing for legal proceedings.
Builds and maintains databases and files; organizes and tracks case files; maintains law library
May help guide the work of less experienced staff.
Protection of information and compliance with the law are paramount.
Protecting employee, customer and corporate information is everyone's responsibility.
All employees must follow established safeguards, including policies regarding data protection, segregation of duties, and access to information based solely on business need.
Further, it is the responsibility of all employees to maintain awareness and understanding of relevant laws, regulations, internal policies and procedures, and to comply with all of them.
Qualifications:
Degree Not Required
Bachelors degree preferred.
Paralegal certificate preferred.
Typically requires at least 5 years of related experience.
Requires at least 5 years of paralegal experience in a law firm or corporate law department.
Knowledge/experience in one or more relevant substantive areas is a plus (trademark, litigation, securities, licensing, dealer matters, etc.)
Ability to analyze and respond to more complex situations with limited guidance.
Ability to make judgments with limited guidance.
Strong attention to detail is required as well as strong written and verbal communication skills, i.e. ability to write and speak in a logical, coherent manner.
Must have the ability
to work well with a variety of people at every level of the company.
Must have the ability to maintain confidential information.
Exceptional organizational skills with the ability to plan, research, gather facts and follow through.
Must be able to effectively prioritize multiple issues.
Problem solving, project management, analytical and computer skills are important.
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 42123
Job Location: Milwaukee, WI
Input Date: 05/04/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Adrienne Mattson
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer

Description:

JOB TITLE: Systems Engineer

LOCATION: Mojave, CA

Join us for an exciting opportunity to create history!

We are a national staffing firm working with some of the top-tier aerospace companies in the world. The contracts are regularly extended, and direct opportunities are often available.

Qualifying Questions:

    Are you a US persons as noted in the Job description?

    Do you meet the educational requirements for this job?

    Do you meet the experience requirements for this job?

    Are you able to commute to the job location or are you able to relocate for the role?

Details:

    Contract: Ongoing

    Location: Mojave, CA (90 minutes from Los Angeles, CA)

    Pay Rate: $60.00 - $90.00/Hourly/W-2

    Contract 12 mos. - potential for direct hire

    Medical, dental, and vision plan with United Healthcare

    Robust 401K

    Potential for bonuses

    Sick pay, and holiday pay for those who qualify

    Shift: 1st shift, 4x 10 schedule

Summary:

    Prepare and conduct tests of composites for mechanical properties, e.g. flexural, tensile, compressive strength, modulus, elongation cantilever testing, etc. Fabricate test article instrumentation, electro-mechanical assemblies and test equipment wire harnesses. Assist engineers and technicians on R&D and other projects as needed. Set up, calibrate, and fabricate test components and carry out laboratory, assembly and vehicle testing. Bonding and machining of test coupons. Collect test data and report results to designated managers and engineers. Assist in the design and fabrication of special test fixtures and test setups. Operation of test equipment (LabVIEW, United and Instron mechanical test machines, environmental chambers, vibration tables, and altitude chambers). Knowledge of shop floor manufacturing techniques and inspection technology preferred.

Desired Skills:

    5+ years of experience in testing, aerospace, manufacturing, or a similar highly controlled environment preferred.
Experience with the following:
    Schematics, engineering drawings, circuit layouts and parts lists. Cable harnesses: Wire diagrams, layout drawings, and parts lists. Mechanical assemblies: Mechanical drawings and parts lists. Instrumentation: strain gages, extensometers, load cells, and pressure transducers. Experience performing electronic testing and inspection using portable measuring devices. Experience in environmental, pressure, vibe, and hydraulic testing. Computer software proficiency, preferably including laboratory or industrial applications, and technical writing skills. Must possess excellent interpersonal and verbal communication skills, and be able to maintain good will in a potentially adversarial role. Requires basic understanding of electronics, test equipment, mechanical test methods and electro-mechanical testing. Capable of running tension/compression test machine and related software. Applicants must be U.S. persons as defined by the ITAR (22 CFR 120.15).

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service.

We support the majority of aerospace OEM's and tier 1 suppliers across the United States.

We have a national medical, dental, and vision plan with United Healthcare, and we also have a robust 401K offering. In addition, there is potential for bonuses, sick pay, and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

Keywords: aerospace, aviation, aircraft,

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2632-2616-11534
Job Location: Mojave CA
Per Diem: --
Overtime: --
Start Date: 2022-09-09
Input Date: 12/31/2021
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Kimra Stone
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Shipping/Receiving Spec A

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Assists with initial verification for identification and damage on incoming/outgoing receivers/shippers. Processes receiving/shipping documentation. Packages and processes shipments. Assists with maintaining inventory of shipping/receiving supplies.

Need to have warehouse experience and would prefer they have experience driving power industrial trucks IE Order Picker or Side Reach
Warehouse experience picking (both small parts and on equipment), shipping and receiving.
Start Time: 11:00am or 12:00
End Time: 7:30 or 8:30pm
Additional Skills:
Skills: Education/experience typically acquired through basic education (e.g. high school diploma/GED).

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3709-3691-11534
Job Location: Dallas TX
Per Diem: --
Overtime: --
Start Date: 2022-05-04
Input Date: 04/28/2022
Last Updated: 12/02/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
A Software Engineer role located in Tempe, AZ is currently available through Belcan. The Software Engineer position requires knowledge of relevant development environments and protocols and ensures adherence to technical standards. Incumbent's responsibility includes gathering user requirements, defining system functionality and writing code in various languages such Assembly, C, Java, Ruby on Rails or .NET programming languages (e.g. C++ or JScript.NET.) Our ideal candidates are familiar with the software development life cycle (SDLC) from preliminary system analysis to tests and deployment.

Software Engineer:
* Design and integrate complex embedded control systems for power electronics.
* Primarily work with T1 TMS320 series DSP and Code Composer Studio
* Participate and lead all aspects of software development, verification, testing and documentation based on DO-178C requirements.
* Participate in creation of an efficient process for DO-178C certification.
* Perform board level troubleshooting and development
* Collaborate with other Transdigm business units, as necessary, on projects.
* Communicate with customers for design reviews, status updates, etc.
* Create relevant documentation
*
EDUCATION AND EXPERIENCE REQUIRED:
* Bachelor Degree in Computer Science, Engineering or equivalent field
* 5-10 years experience: designing interactive applications; test-driven development
* Proven work experience as a Software Engineer or Software Developer
* Ability to develop software in Assembly, C, Java, Ruby on Rails, C++ or other programming languages
* Knowledge of relational databases, SQL and ORM technologies (JPA2, Hibernate) is desired
* Detail-oriented, ability to multi-task, work independently and as part of a team.

If you are interested in this Software Engineer role located in Tempe, AZ please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers' better outcomes through adaptive and integrated services-from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for over 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 320603
Job Location: Tempe, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 02/09/2022
Last Updated: 06/29/2022
Firm Name: BELCAN TECHSERVICES
Attention: FrancisOsolnick
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Advanced Software Engineer

Description:
A Sr. Advanced Software Engineer job in Scottsdale, AZ (Remote Available) is currently available through Belcan. In this role, you will be responsible for developing software applications (primarily in Java) in a process-oriented organization. You will also maintain hardware, software, and related components of the project. To be considered for this role, you will have a Bachelors degree in Software Engineering, or a related Science, Engineering or Mathematics field and a minimum of 8 or more years of relevant experience. Due to the nature of the work performed in this facility, US citizenship is required.

Sr. Advanced Software Engineer Job duties:

* Infrastructure improvements and maintenance in a process-oriented organization.
* Performs infrastructure requirements analysis, participates in research, designs, develops, and tests software and tools, to include development of new work products or enhancing existing applications, systems, or embedded products.
* Leverages scaled agile ceremonies, practices, and organization to plan, communicate, develop, and deliver Minimum Viable Product (MVP) solutions.
* Researches and analyzes data, such as customer design proposals, market trends, state of technology and industry, specifications, and manuals to determine feasibility of design or Commercial Software application configuration, integration into large DoD system.
* Applies principles and techniques of computer science, engineering, and mathematical analysis to the development of complex architectures.

Qualifications:

* Software C/C++ and Java Development.
* Ability to follow written instructions.
* Familiarity with PKI certificate management.
* Familiar with software test and automation and DevOps.

If you are interested in this Sr. Advanced Software Engineer position in Scottsdale, AZ please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 322780
Job Location: Scottsdale, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 04/06/2022
Last Updated: 07/11/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Aerospace Engineer – Aircraft Certification

Description:
A Sr. Aerospace Engineer - Aircraft Certification job in Englewood, CO is currently available through Belcan. In this role, you will be responsible for researching, designing, developing, testing, certifying, deploying and improving cutting edge products and services. To be considered for this role, you will have a Bachelors degree in Aerospace Engineering, Mechanical Engineering, Electrical Engineering or other related discipline and typically 10 or more years of relevant experience. Due to the nature of the work performed in this facility, US Citizenship is required.

Sr. Aerospace Engineer - Aircraft Certification Job duties:
* Develop complex aerodynamic components, structures, and systems.
* Research, design, develop, test, certify, deploy and improve cutting edge products and services.
* Technical duties involve the design and development, analysis, and test of new products and processes throughout the product lifecycle.

Qualifications:
* Understanding of the certification planning process (i.e. developing certification plans, the establishment of certification basis, proposing Methods of Compliance, proposing compliance artifacts).
* Prior experience with FAA Certification Standards (14 CFR Part 23, 25, 27, 29) and/or Military certification (MIL-HDBK-516) programs.
* Experience with reading, reviewing and interpreting technical documentation for accuracy and compliance with applicable regulations.
* Coordinating certification approvals with the FAA Aircraft Certification Office, ODA's, Delegated Engineering Representatives (DER's)/Unit Members or other certifying authorities.
* Experience working in multi-disciplinary design and development teams.

Pay Range: Up to $65/hr DOE

If you are interested in this Sr. Aerospace Engineer - Aircraft Certification position in Englewood, CO please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 322825
Job Location: Englewood, CO
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 04/06/2022
Last Updated: 06/06/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Stress Analysis Engineer

Description:
Responsibilities involve performing stress analysis and related activities for new and derivative designs of
Part 23 aircraft structures, along with supporting other Certification related activities. This includes
review of Engineering drawings, preparing load calculations and writing stress reports in collaboration
with the Structural Engineering group and Structural Unit Members providing approvals.

Essential Functions:
To perform this job successfully, an individual must be able to perform each essential duty
satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or
ability required. Reasonable accommodations may be made to enable individuals with disabilities
to perform the essential functions.
• Works under limited supervision; work should only be required to be reviewed for accuracy and consistency with meeting overall objectives.
• Static strength assessment and fatigue life assessment using both manual (textbook theory) analysis and finite and/or boundary element analysis modeling for both new designs and design changes.
• Perform complex finite element analysis and modeling (aircraft / major assembly level and detailed component level).
• Create analyses and/or tests and prepare test plans and final substantiation reports using modern tools.
• Review drawings, specifications, service bulletins, reports, etc. for compliance with applicable regulations.
• Prepare FAA required aircraft type certification reports showing compliance with the proper FAA or Foreign regulations on all structural components by either analysis or test.
• Document compliance with the applicable regulations covering the structural strength, crash protection, interiors item retention, basic loads, weight balance, stress analysis, static test, dynamic test, fatigue analysis/assessment, and evaluation of the design to substantiate aircraft structure.
• Contribute to developing plans for engineering substantiation projects.
• Determine and develop approaches to solutions within schedule and cost objectives.
• Acts as liaison with representatives outside of the assigned group/area.
• Perform other related duties as required.

Education and Experience:
• Requires a Bachelor’s degree in mechanical or aerospace engineering; a minimum of 5 years in structural analyses, test and design or equivalent education and experience is desired.
• Detailed knowledge of aircraft with respect to structure, materials and processes specifications, and certification requirements is essential.
• Working knowledge of Federal Aviation Regulation (FAR) 21 and 23, related Joint Aviation Requirement (JAR), and Civil Aviation Authority (CAR) 3 required.
• Familiarity with FORTRAN, Visual Basic, C++ computer programming; and experience in Finite Element analysis and modeling and MRBs preferred
 
Job Number: 03-011
Job Location: Vero Beach, FL
Rate: DOE, but competitve
Per Diem: Yes
Overtime: Possible
Duration: 1+ Year
Start Date: ASAP
Input Date: 02/23/2024
Last Updated: 04/03/2024
Firm Name: PRECISION PERSONNEL INC
Attention: Matt Gregory
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Test Engineer (Secret)

Description:
A Test Engineer (Secret) job in Scottsdale, AZ is currently available through Belcan. In this role, you will be responsible for using your knowledge and understanding of test engineering concepts and principles to: Automate Test and Diagnostic programs using National Instruments suite of test software (LabView, LabWindows CVI and TestStand). To be considered for this role, you will have a Bachelors degree in Engineering, or a related Science or Mathematics field, plus a minimum of 8 or more years of relevant experience. A current active Department of Defense Secret security clearance is required at the time of hire.

Test Engineer (Secret) Job duties:

* Design test fixtures, interface boards, cables, equipment, and complete specifications and procedures throughout the engineering development/product life-cycle.
* Participates in the planning of technical approaches, resources, schedule, budget and equipment required for testing and evaluating new systems, products and special devices.
* Generate system test work products by applying the appropriate standards, processes, procedures and tools.
* Assists with the design, development and implementation of cost-effective methods of testing and troubleshooting of hardware, software and systems for all phases of product development and manufacturing.

Qualifications:

* A Bachelors degree in Engineering, a related specialized area or field is required (or equivalent experience) plus a minimum of 5 years of relevant experience; or Master's degree plus a minimum of 3 years of relevant experience.
* Proficient knowledge and experience with Test Software Programming, System Processes and Scripting Languages.
* Openly proposes ideas that enhance productivity and efficiency, solicits input, and optimizes solutions.
* Isolates and documents issues and defects (may include Root Cause and Corrective Actions).

If you are interested in this Test Engineer (Secret) position in Scottsdale, AZ please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 323802
Job Location: Scottsdale, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 04/30/2022
Last Updated: 12/19/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Test Technician

Description:

Join us for an exciting opportunity to create history!

We are a national staffing firm working with some of the top tier aerospace companies in the world. Our client is looking for nine (9) Avionics Technician to start work right away.

Details:

    Shift: 1st shift, 4x 10 schedule Contract: Ongoing Location: Mojave, CA (90 minutes from Los Angeles, CA) Contract 12 mos. - potential for extended term Medical, dental, and vision plan with United Healthcare Robust 401K Potential for bonuses Sick pay and holiday pay for those who qualify

Summary:

    Prepare and conduct tests of composites for mechanical properties E.g.: flexural, tensile, compressive strength, modulus, elongation cantilever testing, etc.
    Fabricate test article instrumentation, electro-mechanical assemblies and test equipment wire harnesses Assist engineers and technicians on R&D and other projects as needed Set up, calibrate, and fabricate test components and carry out laboratory, assembly and vehicle testing Bonding and machining of test coupons. Collect test data and report results to designated managers and engineers. Assist in the design and fabrication of special test fixtures and test setups Operation of test equipment (LabVIEW, United and Instron mechanical test machines, environmental chambers, vibration tables, and altitude chambers) Knowledge of shop floor manufacturing techniques and inspection technology preferred

Desired Skills:

    5+ years of experience in testing, aerospace, manufacturing, or a similar highly controlled environment preferred. Experience with the following: Schematics, engineering drawings, circuit layouts and parts lists Cable harnesses: Wire diagrams, layout drawings, and parts lists Mechanical assemblies: Mechanical drawings and parts lists Instrumentation: strain gages, extensometers, load cells, and pressure transducers.
    Experience performing electronic testing and inspection using portable measuring devices. Experience in environmental, pressure, vibe, and hydraulic testing Computer software proficiency, preferably including laboratory or industrial applications, and technical writing skills Must possess excellent interpersonal and verbal communication skills, and be able to maintain good will in a potentially adversarial role Requires basic understanding of electronics, test equipment, mechanical test methods and electro-mechanical testing Capable of running tension/compression test machine and related software. Applicants must be U.S. persons as defined by the ITAR (22 CFR 120.15)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service.

We support the majority of aerospace OEM's and tier 1 suppliers across the United States.

We have a national medical, dental, and vision plan with United Healthcare, and we also have a robust 401K offering. In addition, there is potential for bonuses, sick pay, and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2633-2617-11534
Job Location: Mojave CA
Per Diem: --
Overtime: --
Start Date: 2022-09-19
Input Date: 12/31/2021
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Kimra Stone
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Struct & Payload Design Engr 2 *Hybrid *

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

**Please only submit candidates that live in Oklahoma, Dallas, Long Beach, or Salt Lake City, UT. Please indicate their location when submitting**

*Hybrid schedule and must be available to work onsite in their city 2-3 days a week.

*Traditional schedule, must be able to start 7am PST. 9*80 schedule is an option for those who are interested

Assists to develop, integrate and document structural and interior payload system requirements to establish the system design. Develops, maintains and modifies basic structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle. Performs tests to validate and verify systems and components meet requirements and specifications. Assists with supplier development, test and production activities and coordination with the supplier to optimize the design and achieve program goals. Supports investigation of emerging technologies to develop concepts for future product designs to meet projected requirements. Works under general supervision.

5+ years of experience in Structure and/or Payload DE
5+ years of experience in a commercial aircraft environment
Must have at least a Bachelor's degree in Aerospace, Civil, Mechanical, or Structural Engineering2D & 3D design with some knowledge of geometric dimensioning and tolerancing, GD&T.
Experience in design release processes, policies and procedures, handling tooling issues, supplier driven changes.
Must have a Structure DE Certification
Nice have a DE Cert
Experience in design release processes, policies and procedures, handling tooling issues, supplier driven changes.
Additional Skills:
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 2 or more years' related work experience or an equivalent combination of technical education and experience (e.g. Master). In the USA, ABET accreditation is the preferred, although not required, accreditation standard

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3080-3064-11534
Job Location: Long Beach CA
Per Diem: --
Overtime: --
Start Date: 2022-03-02
Input Date: 02/25/2022
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Struct & Payload Design Engr 2 *Hybrid*

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

**Please only submit candidates that live in Oklahoma, Dallas, Long Beach, or Salt Lake City, UT. Please indicate their location when submitting

*Hybrid schedule and must be available to work onsite in their city 2-3 days a week.

*Traditional schedule, must be able to start 7am PST. 9*80 schedule is an option for those who are interested

Assists to develop, integrate and document structural and interior payload system requirements to establish the system design. Develops, maintains and modifies basic structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle. Performs tests to validate and verify systems and components meet requirements and specifications. Assists with supplier development, test and production activities and coordination with the supplier to optimize the design and achieve program goals. Supports investigation of emerging technologies to develop concepts for future product designs to meet projected requirements. Works under general supervision.

SAMES AS 50813-1 THAT WAS CANCELLED. PLEASE RESUBMIT CANDIDATE TO THIS NEW REQUEST

5+ years of experience in Structure and/or Payload DE
5+ years of experience in a commercial aircraft environment
Must have at least a Bachelor's degree in Aerospace, Civil, Mechanical, or Structural Engineering2D & 3D design with some knowledge of geometric dimensioning and tolerancing, GD&T.
Experience in design release processes, policies and procedures, handling tooling issues, supplier driven changes.
Must have a Structure DE Certification
Nice have a DE Cert
Experience in design release processes, policies and procedures, handling tooling issues, supplier driven changes.

Additional Skills:
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 2 or more years' related work experience or an equivalent combination of technical education and experience (e.g. Master). In the USA, ABET accreditation is the preferred, although not required, accreditation standard

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3160-3144-11534
Job Location: Long Beach CA
Per Diem: --
Overtime: --
Start Date: 2022-04-05
Input Date: 03/08/2022
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Struct & Payload Design Engr 3

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Develops, integrates and documents structural and interior payload system requirements to establish the system design. Develops, maintains and modifies structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle. Performs, integrates analytical and test results to validate and verify systems and components meet requirements and specifications. Manages supplier development, test and production activities and coordinates with the supplier to optimize the design and achieve program goals. Develops new design/analysis processes and tools to improve the effectiveness, quality and efficiency of the development effort. Investigates emerging technologies to develop concepts for future product designs to meet projected requirements. Works under general direction.

Commercial Airplanes is seeking Multiple Mechanical and Structural Design Engineers for our team in North Charleston, SC to support the Fuselage Structures Life cycle product team (LCPT). As part of the LCPT Structures team Design responsibilities could include either Aft body, Mid Body Integration, Final assembly, common architecture and/or Partner oversight. Additional responsibility could include production support as part of the Factory Support Control Centers (FSCC) that are aligned to the manufacturing business units.

You will be part of a high-performing team that is immersed in development projects in support of the 787 Production System. As a member of our team, you will have the opportunity to contribute to the development of aircraft and sub-system designs and collaborate with leaders throughout the organization to pave the way in creating a well-integrated and optimized design.

Position Responsibilities:
Develops, integrates and documents structural and interior payload system requirements to establish the system design
Develops, maintains and modifies structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle
Leads performance, integration and analysis of tests to validate and verify systems and components meet requirements and specifications
Manages supplier development, test and production activities and coordinates with the supplier to optimize the design and achieve program goals
Assists with investigation of emerging technologies to develop concepts for future product designs to meet projected requirements
Works under minimal direction.

Employer will not sponsor applicants for employment visa status.

Basic Qualifications (Required Skills / Experience):
Bachelors Degree in Engineering Field -
7+ years of experience working with aircraft structure design

Preferred Qualification (Desired Skills / Experience): mechanical, aerospace, aeronautical, civil
Experience in Model Based Definition, CATIA, ENOVIA and PDM
Experience on 787 structures design teams
Ability work independently and in a team environment
Experience providing product definitions and technical support to groups, suppliers and external customers throughout the product lifecycle
Experience with payloads or structural aircraft design/analysis

Skillset Keywords: Aircraft Structure, Assembly Process, Structural Repair, Systems Trade Studies, Aircraft Systems/Software, Engineering Judgment, Structural Design, Materials & Processing, Analysis/Design Optimization, Engineering Process Improvement Methodology, Supplier Tech Management, Design/Produce Process, Configuration/Data Management, Computer Aided Design Tools, Communication, Continuous Learning, Customer Focus, Innovation/Creativity, Business Acumen Safety Awareness, Quality, Systems Thinking, ANalytical Skills (Technical), Engineering Processes, Deisgn Concepts & Techniques, Engineering Knowledge/Comprhension.

Key Skills: Experience in Model Based Definition, CATIA, ENOVIA and PDM

Experience with payloads or structural aircraft design/analysis

First shift, but needs some flexibility to support production needs - i.e. may need to stay late to see something in production
Bachelor of Science degree or higher in engineering, computer science, mathematics, physics or chemistry -
Engineering Degrees that are NOT a good fit: Industrial, Chemical, Environmental.

Will consider bringing back contractors with 787 Structures Design, Charleston engineering experience from last year - please make sure this is clear on resume

Successful completion of OJT is a contingency for this assignment

Additional Skills:
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 5 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD, Master+3 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3212-3195-11534
Job Location: North Charleston SC
Per Diem: --
Overtime: --
Start Date: 2022-07-18
Input Date: 03/10/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Struct & Payload Design Engr 3

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Develops, integrates and documents structural and interior payload system requirements to establish the system design. Develops, maintains and modifies structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle. Performs, integrates analytical and test results to validate and verify systems and components meet requirements and specifications. Manages supplier development, test and production activities and coordinates with the supplier to optimize the design and achieve program goals. Develops new design/analysis processes and tools to improve the effectiveness, quality and efficiency of the development effort. Investigates emerging technologies to develop concepts for future product designs to meet projected requirements. Works under general direction.
Additional Skills:
Basic Qualifications (Required Skills / Experience):
o Bachelors of Science and 5 or more years' of structural experience or a Master's and 3 or more years' of structural experience in a Mechanical, Aeronautical / Aerospace, Civil engineering, Structural engineering.
o Experience working in a cross-functional environment and/or business unit teams.

Preferred Qualification (Desired Skills / Experience):
o Bachelors of Science and 7 or more years' of structural experience or a Master's and 5 or more years' of structural experience in a Mechanical, Aeronautical / Aerospace, Civil engineering, Structural engineering
o Experience with Propulsion Structures (Struts, Nacelles, Fairings, and Mounts) design/analysis in both metallic and composite components.
o Experience in Model Based Definition, CATIA, ENOVIA and PDM
o Ability to work in a team environment
o Excellent cross-functional teaming, collaborative problem solving, building lasting relationships & proficiency in written and verbal communication
o Familiarity with Geometric Dimensioning and Tolerancing (GD&T)
o Experience providing product definitions and technical support to groups, suppliers and external customers throughout the product lifecycle
o Excellent problem solving skills
Position Responsibilities:
o Develops, maintains and modifies structural and component designs in support of the Propulsion hardware, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle.
o Analyzes and resolves design problems, able to develop and assist others with resolving design discrepancies and analyze the applicability of new and emerging technologies and advanced techniques
o Develops new design/analysis processes and tools to improve the effectiveness, quality and efficiency of the development effort.
o Coordinate with multiple engineering disciplines to ensure designs meet complex engineering requirements
o Collaborate and assist in supplier development, test and production activities and coordinate with the supplier to optimize the design and achieve program goals.
o Works under general direction.
Skills:
Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 5 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD, Master+3 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.PROJECT/SKILLS Aircraft Systems/Software Analysis/Design Optimization Assembly Process Computer Aided Design Tools Design Concepts & Techniques Engr Knowledge/Comprehension

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2893-2877-11534
Job Location: Everett WA
Per Diem: --
Overtime: --
Start Date: 2022-06-15
Input Date: 02/10/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structure & Payload Design Engineer 4

Description:

JOB TITLE: Structure & Payload Design Engineer 4

LOCATION: Mesa, AZ

Very exciting opportunity to work with one of the world's largest aerospace companies! The contracts are regularly extended, and direct opportunities are often available.

Qualifying Questions:

    Are you a US persons as noted in the Job description?

    Do you meet the educational requirements for this job?

    Do you meet the experience requirements for this job?

    Are you able to commute to the job location or are you able to relocate for the role?

Details:

    Contract 12 mos. - potential for extended term

    Medical, dental, and vision plan with United Healthcare

    Robust 401K

    Potential for bonuses

    Sick pay, and holiday pay for those who qualify

Summary:

    Develops, integrates and documents structural and interior payload system requirements to establish the system design. Develops, maintains and modifies structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle. Performs, integrates analytical and test results to validate and verify systems and components meet requirements and specifications. Manages supplier development, test and production activities and coordinates with the supplier to optimize the design and achieve program goals. Develops new design processes and tools to improve the effectiveness, quality and efficiency of the development effort. Investigates emerging technologies to develop concepts for future product designs to meet projected requirements. 9x80 Work Schedule Develops, maintains and modifies structural and component designs in support of the fixed wing airframe hardware, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle. Analyzes and resolves design problems, able to develop and assist others with resolving design discrepancies and analyze the applicability of new and emerging technologies and advanced techniques Develops new design/analysis processes and tools to improve the effectiveness, quality and efficiency of the development effort. Coordinate with multiple engineering disciplines to ensure designs meet complex engineering requirements Collaborate and assist in supplier development, test and production activities and coordinate with the supplier to optimize the design and achieve program goals.

Desired Skills:

    Bachelors of Science and 5 or more years' of structural experience or a Master's and 3 or more years' of structural experience in a Mechanical, Aeronautical / Aerospace, Civil engineering, Structural engineering Experience working in a cross-functional environment and/or business unit teams. Experience with Airframe Structures (Frames, Wings, Acreage, Floors, Nacelles, Fairings, and Mounts) design in both metallic and composite components; experience with wiring design is a plus Experience in Model Based Definition, CATIA, ENOVIA and PDM ; Creo/ProE familiarity a plus; tubing workbench in Catia knowledge a plus Familiarity with Geometric Dimensioning and Tolerancing (GD&T)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service.

We support the majority of aerospace OEM's and tier 1 suppliers across the United States.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

Keywords: aerospace, aviation, aircraft, Model Based Definition, CATIA, ENOVIA and PDM ; Creo/ProE familiarity a plus; tubing workbench in Catia knowledge a plus, Airframe Structures (Frames, Wings, Acreage, Floors, Nacelles, Fairings, and Mounts) metallic and composite components; experience with wiring design is a plus.


The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3021-3005-11534
Job Location: Mesa AZ
Per Diem: --
Overtime: --
Start Date: 2022-07-15
Input Date: 02/19/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Struct & Payload Design Engr 4

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Develops, integrates and documents structural and interior payload system requirements to establish the system design. Develops, maintains and modifies structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle. Leads performance, integration and analysis of tests to validate and verify systems and components meet requirements and specifications. Manages supplier development, test and production activities and coordinates with the supplier to optimize the design and achieve program goals. Assists with investigation of emerging technologies to develop concepts for future product designs to meet projected requirements. Works under minimal direction.
Additional Skills:
Position Responsibilities:
o Develops, maintains and modifies structural and component designs in support of the Propulsion hardware, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle.
o Analyzes and resolves design problems, able to develop and assist others with resolving design discrepancies and analyze the applicability of new and emerging technologies and advanced techniques
o Develops new design/analysis processes and tools to improve the effectiveness, quality and efficiency of the development effort.
o Provide leadership and direction for a small group of design engineers
o Coordinate with multiple engineering disciplines to ensure designs meet complex engineering requirements
o Collaborate and assist in supplier development, test and production activities and coordinate with the supplier to optimize the design and achieve program goals.
o Works under minimal direction.
Basic Qualifications (Required Skills / Experience):
o Bachelors of Science and 9 or more years of structural experience or a Master's and 7 or more years of structural experience in a Mechanical, Aeronautical / Aerospace, Civil engineering, Structural engineering.
o Experience working in a cross-functional environment and/or business unit teams.

Preferred Qualification (Desired Skills / Experience):
o Bachelors of Science and 12 or more years of structural experience or a Master's and 5 or more years of structural experience in a Mechanical, Aeronautical / Aerospace, Civil engineering, Structural engineering
o 10 or more years of experience with Propulsion Structures (Struts, Nacelles, Fairings, and Mounts) design/analysis in both metallic and composite components.
o Experience in Model Based Definition, CATIA, ENOVIA and PDM
o Experience as a lead for a group of 5 or more engineers
o Ability to work in a team environment
o Excellent cross-functional teaming, collaborative problem solving, building lasting relationships & proficiency in written and verbal communication
o Familiarity with Geometric Dimensioning and Tolerancing (GD&T)
o Experience providing product definitions and technical support to groups, suppliers and external customers throughout the product lifecycle
o Excellent problem solving skills
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 9 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD+4 years' related work experience, Master+7 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.PROJECT/SKILLS Aircraft Systems/Software Analysis/Design Optimization Assembly Process Computer Aided Design Tools CATIA V5 knowledge for Level 3 OR 4 Design Concepts & Techniques Engr Knowledge/ComprehensionLevel 4 ability to lead projects / a team. Preferred skills: Propulsion structures design experience Company knowledge preferred Level : Year of experience.
Locations: Everett, WA and Renton, WA
Are you okay with out-of-state candidates?: YES Would have to relocate at their own expense
Remote, onsite or a combination of both? COMBO currently no one onsite. May be asked to come onsite 3 5 days a week.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2894-2878-11534
Job Location: Everett WA
Per Diem: --
Overtime: --
Start Date: 2022-06-15
Input Date: 02/10/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural Analysis Engineer 3,4 - Noth Charleston, SC

Description:
Structural Analysis Engineer 3

Location: North Charleston, SC
Duration: 9 months with possible extension

Description:
- Client Commercial Airplanes is seeking a Mid-Level Structural Analysis Engineer in North Charleston, SC to support the Fuselage Structures Life cycle product team (LCPT).
- As part of the LCPT Structures team – Analysis responsibilities could include either areas within Aft body, Mid Body Integration, Final assembly, common architecture, Liaison Stress and/or Partner oversight.
- Evaluate strength of structural non conformances and repairs
- Apply knowledge of structural analysis principles to assess and resolve product/process issues throughout the product lifecycle
- Analyze MRB dispositions for structural integrity and identify, document and communicate deviations that could impact design intent and safety
- Provide alternate repair recommendations as necessary to Liaison Engineering to meet structural requirements
- Author and review structural analysis
- Lead development, integration and documentation of structural requirements to establish the system design
- Coordinate with regulatory agencies and representatives to support certification of airplane structural components
- Explore new composite repair methods and technologies
- Mentor and develop team members technical capabilities by knowledge sharing and training
- Positive and proactive mindset, highly motivated team member willing to manage multiple assignments and work with a sense of urgency
- Utilize Lean best practices

Primary Responsibilities:
- Develops, integrates and documents structural requirements to establish the system design. Coordinates with other engineering groups to establish the product's environment.
- Guides product design and verifies structural integrity by using analytical methods, finite element models/simulations and other analysis tools throughout the product lifecycle to develop the structural environment, characteristics and performance.
- Develops test plans and configurations, supports test execution and analyzes/reports test results to validate and verify systems and components meet requirements and specifications.
- Defines and documents certification and test results to substantiate for customers and regulatory agencies that requirements are satisfied.
- Supports in-service products by investigating failures and analyzing improvements.
- Works under general direction.
- The position must meet Export Control compliance requirements, therefore a “US Person” as defined by 22 C.F.R. § 120.15 is required. “US Person” includes US Citizen, lawful permanent resident, refugee, or asylee.

Basic Qualifications:
- Bachelors, Master's or Doctor of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.
- 5 or more years of experience in an engineering discipline
- 5 or more years of experience working in a cross-functional environment and/or business unit teams
- 5 or more years of aerospace structural stress analysis
- 3 or more years of composite airframe stress analysis
- Client Commercial experience and 787 tools and processes

Preferred Qualifications:
- Bachelor of Science degree or higher in: Mechanical, Aerospace / Aeronautical, Civil, Electrical, Chemical Engineering or Material Science Engineering
- Aerospace experience, fabrications, manufacturing, and/or additive manufacturing experience
- Experience in Model Based Definition, CATIA, ENOVIA and PDM
- Ability to lead, work independently and in a team environment
- Excellent cross-functional teaming, collaborative problem solving, building lasting relationships & proficiency in written and verbal communication
- Familiarity with Geometric Dimensioning and Tolerancing (GD&T)
- Experience providing product definitions and technical support to groups, suppliers and external customers throughout the product lifecycle
- Experience with payloads or structural aircraft design/analysis
- Production engineering experience
- Relevant technological knowledge
- Excellent problem solving skills

Typical Education/Experience:
- Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 5 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD, Master+3 years' related work experience).
- In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

Skill Code:
- 63Y-Structural Analysis
 
Job Location: United
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 9 months
Start Date: ASAP
Input Date: 05/01/2023
Last Updated: 08/10/2023
Firm Name: ICONMA LLC
Attention: Mohamed Zoheb
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/602-3856
Website: iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural Analysis Engineer 4

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Leads development, integration and documentation of structural requirements to establish the system design. Coordinates with other engineering groups to establish the product''s environment. Guides product design and verifies structural integrity by using and developing analytical methods, finite element models/simulations and other analysis tools throughout the product lifecycle to develop the structural environment, characteristics and performance. Leads development of test plans and configurations, supports test execution and analyzes/reports test results to validate and verify systems and components meet requirements and specifications. Defines and documents certification and test results to substantiate for customers and regulatory agencies that requirements are satisfied. Supports in-service products by leading investigations into failures and analyzing improvements. Develops analytical processes/tools to improve effectiveness, quality and efficiency of the development effort. Works under minimal direction.

Basic Qualification (Required skills/experience):
o Bachelor of Science degree or higher in Mechanical, Aerospace or Civil Engineering.
o Minimum of ten (10) years of structural analysis engineering experience.
o Must be local candidate that can sit onsite

Preferred Qualifications (Desired skills/experience):
o Experience performing analysis utilizing closed form classical methods and finite element analysis (FEA) on airframes.
o Familiarization with tools i.e.: IAS, CSW, GKJoint, IDTAS, DTANAL, LifeWorks, JOLT, etc
o Experience with common FEM tools such as NASTRAN/Patran and ABAQUS .

Competencies: Communication Continuous Learning Customer Focus Innovation / Creativity Business Acumen Safety Awareness Quality Systems Thinking Analytical Skills (Technical) Engineering Processes Design Concepts & Techniques Engr Knowledge/Comprehension Accident & Fleet Support Aircraft Structure Analysis/Design Optimization Analyze & Interpret Engr Data Knowledge Computer Aided Design Tools Customer Entitlements Customer Knowledge Customer Maintenance Design / Produce Process Design/Test/Cert Regulations Engineering Analysis Engineering Drawings & Specs Engineering Technical Info Engineering Tests Techniques Integrated Logistics Support Interpret Engr Data Lifecycle Cost Analysis Mathematical Reasoning Provisioning Process Structural Repair.
First shift 8a-5p
Must work onsite; no 100% remote option

If relocating, must be able to start on site upon clearing contingencies (avg 3-4 weeks). Location is near Philadelphia.
Successfully completing OJT is a contingency for this assignment
If candidate previously worked for us, please vet their reason for leaving to determine eligibility- manager has had selected candidates fall off already due to negative terms.
Additional Skills:
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 9 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD+4 years' related work experience, Master+7 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3512-3494-11534
Job Location: Ridley Park PA
Per Diem: --
Overtime: --
Start Date: 2022-06-29
Input Date: 04/05/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural Analysis Engineer 4

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Leads development, integration and documentation of structural requirements to establish the system design. Coordinates with other engineering groups to establish the product''s environment. Guides product design and verifies structural integrity by using and developing analytical methods, finite element models/simulations and other analysis tools throughout the product lifecycle to develop the structural environment, characteristics and performance. Leads development of test plans and configurations, supports test execution and analyzes/reports test results to validate and verify systems and components meet requirements and specifications. Defines and documents certification and test results to substantiate for customers and regulatory agencies that requirements are satisfied. Supports in-service products by leading investigations into failures and analyzing improvements. Develops analytical processes/tools to improve effectiveness, quality and efficiency of the development effort. Works under minimal direction.

Basic Qualification (Required skills/experience):
o Bachelor of Science degree or higher in Mechanical, Aerospace or Civil Engineering.
o Minimum of ten (10) years of structural analysis engineering experience.
o Must be local candidate that can sit onsite

Preferred Qualifications (Desired skills/experience):
o Experience performing analysis utilizing closed form classical methods and finite element analysis (FEA) on airframes.
o Familiarization with Company tools i.e.: IAS, CSW, GKJoint, IDTAS, DTANAL, LifeWorks, JOLT, etc
o Experience with common FEM tools such as NASTRAN/Patran and ABAQUS .

-----
Competencies: Communication Continuous Learning Customer Focus Innovation / Creativity Business Acumen Safety Awareness Quality Systems Thinking Analytical Skills (Technical) Engineering Processes Design Concepts & Techniques Engr Knowledge/Comprehension Accident & Fleet Support Aircraft Structure Analysis/Design Optimization Analyze & Interpret Engr Data Knowledge Computer Aided Design Tools Customer Entitlements Customer Knowledge Customer Maintenance Design / Produce Process Design/Test/Cert Regulations Engineering Analysis Engineering Drawings & Specs Engineering Technical Info Engineering Tests Techniques Integrated Logistics Support Interpret Engr Data Lifecycle Cost Analysis Mathematical Reasoning Provisioning Process Structural Repair.
First shift 8a-5p

Must work onsite; no 100% remote option
Additional Skills:
Skills: Education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 9 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD+4 years' related work experience, Master+7 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 2800-2784-11534
Job Location: Ridley Park PA
Per Diem: --
Overtime: --
Start Date: 2022-03-09
Input Date: 01/28/2022
Last Updated: 09/27/2023
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural Analysis Engineer 4

Description:

This is a very exciting opportunity to work with one of the world's largest aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Loads and Dynamics engineers are responsible for airplane level aeroelastic analyses (External Loads and Flutter) across the entire airplane life cycle (concept and configuration development, design, test, validation, certification, and in-service support). Our engineers work closely with many other technical disciplines and organizations to integrate solutions to complex engineering problems and have both large impact and high visibility.
Remote, onsite or a combination of both? Combination, 3 days onsite 2 days remote
Are you okay with out-of-state candidates?: Yes, must relocate at own expense. Must be able to be onsite 3 days a week
Occasional OT

Position Responsibilities:
The candidate will work in a collaborative environment, performing airplane level loads and flutter analysis and tests, using aeroelastic empirical based or statistical methods to solve static, time history, frequency domain, and random response analysis.
The candidate may compute distributed aerodynamics and execute wind tunnel, ground and flight test programs, by developing test requirements, analyzing data and documenting results.
The candidate may compute aeroelastic loads, by developing analytical models that incorporate structure, aerodynamics, control systems characteristics and perform airplane level design, fatigue and damage tolerance analyses that meet defined requirements, to support airplane level vehicle design.
The candidate may perform aeroelastic stability analysis, by developing analytical models that incorporate structure, aerodynamics, control systems characteristics, to ensure airplane level structural stability margin requirements are met.
Provides engineering analysis and co-ordinates with internal customers, in support of product safety, quality and customer satisfaction.
The candidate defines and documents airplane level vehicle design requirements, and documents results in order to substantiate structural integrity and show compliance with customer and regulatory requirements (FAA/EASA Part 25).
Communicates technical approaches, results, and recommendations to engineering teams, program leadership, and customers through oral presentations and technical writing.
Works under general supervision.

Skills/Experience
5+ years of experience working with loads and aeroelastic analysis.
5+ years of experience working on commercial or commercial derivative aircraft.
Knowledge and background in classical and modern control theory, Matlab/Simulink.
Experience in programming languages (eg Matlab, Python, C++, Fortran), UNIX/Linux operating environment.
Experience in finite element analysis (FEA), with one or more of the following FEA codes: Nastran, Abaqus, LS-Dyna.
Experience computational fluid dynamic (CFD) software, such as: Ansys Fluent, OVERFLOW, Altair CFD.
Experience performing frequency and time domain analysis.
Experience in structural dynamics and testing, signal processing, data acquisition.
Experience in turbulence modeling, gust/buffet response.
Experience conducting multi-disciplinary design studies for aerospace systems
Additional Skills:
Skills: ABET accredited bachelor's degree or higher in Aeronautics/Aerospace or Mechanical Engineering or Civil plus 5 or more years' experience OR Master of Science in Aeronautics/Aerospace or Mechanical Engineering with 2 or more years' experience OR a PhD in Aeronautics/Aerospace or Mechanical Engineering.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3682-3664-11534
Job Location: Everett WA
Per Diem: --
Overtime: --
Start Date: 2022-05-25
Input Date: 04/21/2022
Last Updated: 12/08/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Tania Martinez
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

Manufacturing Engineers

Description:
Manufacturing Engineer Positions – Mesa, AZ – St. Louis, MO – New Orleans, LA – Ridley Park, PA – North Charleston, SC. Must have 5+ yrs exp in assembly and installation, fabrication and electrical. Bachelor, Master or Doctor of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry is required.

Take your Career
to the Next Level
with the Help of Our Staffing Specialists!


 Nationwide Opportunities
 Competitive Compensation
 Great Benefits
 Referral Bonus

As an equal opportunity employer, ICONMA prides itself on creating an employment environment that supports and encourages the abilities of all persons regardless of race, color, gender, age, sexual orientation, citizenship, or disability.

Call for current openings
Multiple national openings for many engineering disciplines!

Andrew Kumar
Recruiter
(843) 955-9221
andrew.kumar@iconma.com
 
Job Location: AZ/MO/LA/PA/SC
Input Date: 01/02/2024
Firm Name: ICONMA LLC
Attention: Andrew Kumar
Address: 859 STEPHENSON HWY
City, State: TROY, MI 48083
Phone: 312/502-4795
800 Phone: 843/955-9221
Email: andrew.kumar@iconma.com
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural Design Engineer 3

Description:
Chipton-Ross is seeking a Structural Design Engineer 3 for an opening in Renton, WA.

RESPONSIBILITIES:
Develops, integrates and documents structural and interior payload system requirements to establish the system design. Develops, maintains and modifies structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle. Performs, integrates analytical and test results to validate and verify systems and components meet requirements and specifications. Manages supplier development, test and production activities and coordinates with the supplier to optimize the design and achieve program goals. Develops new design/analysis processes and tools to improve the effectiveness, quality and efficiency of the development effort. Investigates emerging technologies to develop concepts for future product designs to meet projected requirements. Works under general direction.

POSITION RESPONSIBILITIES:
o Develops, maintains and modifies structural and component designs in support of the Propulsion hardware, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle.
o Analyzes and resolves design problems, able to develop and assist others with resolving design discrepancies and analyze the applicability of new and emerging technologies and advanced techniques
o Develops new design/analysis processes and tools to improve the effectiveness, quality and efficiency of the development effort.
o Coordinate with multiple engineering disciplines to ensure designs meet complex engineering requirements
o Collaborate and assist in supplier development, test and production activities and coordinate with the supplier to optimize the design and achieve program goals.
o Works under general direction.

REQUIRED SKILLS/QUALIFICATIONS:
o Bachelors of Science and 5 or more years' of structural experience or a Master's and 3 or more years' of structural experience in a Mechanical, Aeronautical / Aerospace, Civil engineering, Structural engineering.
o Experience working in a cross-functional environment and/or business unit teams.

DESIRED SKILLS/QUALIFICATIONS:
o Bachelors of Science and 7 or more years' of structural experience or a Master's and 5 or more years' of structural experience in a Mechanical, Aeronautical / Aerospace, Civil engineering, Structural engineering
o Experience with Propulsion Structures (Struts, Nacelles, Fairings, and Mounts) design/analysis in both metallic and composite components.
o Experience in Model Based Definition, CATIA, ENOVIA and PDM
o Ability to work in a team environment
o Excellent cross-functional teaming, collaborative problem solving, building lasting relationships & proficiency in written and verbal communication
o Familiarity with Geometric Dimensioning and Tolerancing (GD&T)
o Experience providing product definitions and technical support to groups, suppliers and external customers throughout the product lifecycle
o Excellent problem solving skills

EDUCATION:
Accredited education/experience typically acquired through advanced technical education from an accredited course of study in engineering, computer science, mathematics, physics or chemistry (e.g. Bachelor) and typically 5 or more years' related work experience or an equivalent combination of technical education and experience (e.g. PhD, Master+3 years' related work experience). In the USA, ABET accreditation is the preferred, although not required, accreditation standard.

FULL TIME/FIRST SHIFT
WORK HOURS: 8:00 AM - 4:30 PM
 
Job Number: 197683
Job Location: Everett, WA
Rate: $DOE
Duration: 12 months
Input Date: 02/04/2022
Last Updated: 06/13/2022
Firm Name: CHIPTON ROSS
Attention: Rebecca Diaz-Llera
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural Engineer III

Description:
A Structural Engineer III job in Englewood, CO is currently available through Belcan. In this role, you will be responsible for using your skills and expertise to design, evaluate, and certify cutting edge products and services. To be considered for this role, you will have a Bachelor's degree in Mechanical Engineering, Aerospace Engineering, or a related discipline and typically 6 or more years of relevant experience. Due to the nature of the work performed in this facility, US Citizenship is required.

Structural Engineer III Job duties:

* Design, evaluate, and certify cutting edge products and services.
* Examine complex metallic and composite structures and systems.
* Technical duties involve the design and development of new products and processes throughout the product lifecycle.

Qualifications:

* Experience with Computer Aided Engineering tools.
* Practical knowledge of Static and/or Dynamic Analyses.
* Experience with aerospace structural design, fabrication, and repair methods for military and/or commercial aircraft.
* Experience in the design, development and analyses of complex and/or large aerospace structures.
* Proficiency in ASME Y14 drawing standards and practices including the development of 'production level' technical data packages (Level 3 TDP).

Pay Rate: Up to $55.00/hr DOE

If you are interested in this Structural Engineer III position in Englewood, CO please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 322796
Job Location: Englewood, CO
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 04/28/2022
Last Updated: 08/15/2022
Firm Name: BELCAN TECHSERVICES
Attention: Dee AnnVan Schaick
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural Engineer III

Description:
A Structural Engineer III job in Englewood, CO is currently available through Belcan. In this role, you will be responsible for using your skills and expertise to design, evaluate, and certify cutting edge products and services. To be considered for this role, you will have a Bachelors degree in Mechanical Engineering, Aerospace Engineering, or a related discipline and typically 6 or more years of relevant experience. Due to the work performed in this facility, US Citizenship is required.

Structural Engineer III Job duties:
* Design, evaluate, and certify cutting edge products and services.
* Examine complex metallic and composite structures and systems.
* Technical duties involve the design and development of new products and processes throughout the product lifecycle.

Qualifications:
* Experience with Computer Aided Engineering tools.
* Practical knowledge of Static and/or Dynamic Analyses.
* Experience with aerospace structural design, fabrication, and repair methods for military and/or commercial aircraft.
* Experience in the design, development and analyses of complex and/or large aerospace structures.
* Proficiency in ASME Y14 drawing standards and practices including the development of 'production level' technical data packages (Level 3 TDP).

Pay Rate: Up to $55/hr DOE

If you are interested in this Structural Engineer III position in Englewood, CO please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 322793
Job Location: Englewood, CO
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 04/06/2022
Last Updated: 07/27/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural/Stress Analysis Engineer

Description:
Hybrid Role
Primary Responsibilities:
• Leads development, integration and documentation of structural requirements to establish the system design.
• Coordinates with other engineering groups to establish the product's environment.
• Guides product design and verifies structural integrity by using and developing analytical methods, finite element models/simulations and other analysis tools throughout the product lifecycle to develop the structural environment, characteristics and performance.
• Leads development of test plans and configurations, supports test execution and analyzes/reports test results to validate and verify systems and components meet requirements and specifications. Defines and documents certification and test results to substantiate for customers and regulatory agencies that requirements are satisfied.
• Supports in-service products by leading investigations into failures and analyzing improvements.
• Develops analytical processes/tools to improve effectiveness, quality and efficiency of the development effort.
• Works under minimal direction.

Basic Qualifications (Required Skills/ Experience):
• Bachelors, Master's or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.
• 7 or more years of experience in an engineering discipline
• 7 or more years of experience working in a cross-functional environment and/or business unit teams
• 7 or more years of aerospace structural stress analysis
• 5 or more years of composite airframe stress analysis
• Commercial Airplane experience and 787 tools and processes

Preferred Qualifications (Desired Skills/Experience):
• Bachelor of Science degree or higher in: Mechanical, Aerospace / Aeronautical, Civil, Electrical, Chemical Engineering or Material Science Engineering
• Aerospace experience, fabrications, manufacturing, and/or additive manufacturing experience
• Experience in Model Based Definition, CATIA, ENOVIA and PDM
• Ability to lead, work independently and in a team environment
• Excellent cross-functional teaming, collaborative problem solving, building lasting relationships & proficiency in written and verbal communication
• Familiarity with Geometric Dimensioning and Tolerancing (GD&T)
• Experience providing product definitions and technical support to groups, suppliers and external customers throughout the product lifecycle
• Experience with payloads or structural aircraft design/analysis
• Production engineering experience
• Relevant technological knowledge
• Excellent problem solving skills
 
Job Number: SAE_SC
Job Location: North Charleston, SC
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 12+ Months
Start Date: ASAP
Input Date: 03/30/2022
Last Updated: 06/07/2022
Firm Name: INNOVA SOLUTIONS, INC
Attention: Dheeraj Rai
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structures and Systems Test Technician

Description:

This is a very exciting opportunity to work with one of the world's most exciting aerospace companies!

The contracts are regularly extended and direct opportunities are often available.

Your Mission
    Prepare and conduct tests of composites for mechanical properties
      E.g.: flexural, tensile, compressive strength, modulus, elongation cantilever testing, etc.
    Fabricate test article instrumentation, electro-mechanical assemblies and test equipment wire harnesses Assist engineers and technicians on R&D and other projects as needed Set up, calibrate, and fabricate test components and carry out laboratory, assembly and vehicle testing Bonding and machining of test coupons. Collect test data and report results to designated managers and engineers. Assist in the design and fabrication of special test fixtures and test setups Operation of test equipment (LabVIEW, United and Instron mechanical test machines, environmental chambers, vibration tables, and altitude chambers) Knowledge of shop floor manufacturing techniques and inspection technology preferred
What you bring
    2+ years of experience in a testing, aerospace, manufacturing, or a similar highly controlled environment preferred. Experience with the following:
      Schematics, engineering drawings, circuit layouts and parts lists Cable harnesses: Wire diagrams, layout drawings, and parts lists Mechanical assemblies: Mechanical drawings and parts lists Instrumentation: strain gages, extensometers, load cells, and pressure transducers.
    Experience performing electronic testing and inspection using portable measuring devices. Computer software proficiency, preferably including laboratory or industrial applications, and technical writing skills Must possess excellent interpersonal and verbal communication skills, and be able to maintain good will in a potentially adversarial role Requires basic understanding of electronics, test equipment, mechanical test methods and electro-mechanical testing Capable of running tension/compression test machine and related software. Applicants must be U.S. persons as defined by the ITAR (22 CFR 120.15)

Preferred Skills and Experience

    Structural or Systems test experience

Physical and/or Additional Requirements

    Must be able to work all shifts and available for overtime as well as weekends when needed. Must be able to stand for extended periods 6 hours min. Must be able to stoop, bend, crawl, and being able to maneuver in tight spaces. Medium work: Exerting up to 50 pounds of force occasionally, and/or up to 20 pounds of force frequently, and/or up to 10 pounds of force constantly to move objects.

The Structures Company is a national staffing firm specializing in contract, contract to hire and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair and support staff. Our niche recruiting team is one of our biggest strengths and is the main reason we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests, while also providing industry leading customer service.

We support the majority of Aerospace OEM's and Tier 1 Suppliers across the United States.

We have a national medical, dental and vision plan with United Healthcare and we also have a 401K offering. In some states and on some openings there is bonus potential, sick pay and holiday pay for those who qualify.

The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)

The Structures Company is a national staffing firm specializing in contract, contract to hire, and direct hire placement opportunities. Our clients rely on us to support their engineering, IT, Production, Maintenance & Repair, and support staff. Our niche recruiting team is one of our biggest strengths and is why we are a top provider of talented professionals to the majority of our clients. They will help you identify the opportunity that best fits your interests while also providing industry-leading customer service. We support the majority of aerospace OEM's and tier 1 suppliers across the United States. The Structures Company LLC is an Affirmative Action/ Equal Opportunity Employer (or AA/ EOE)


 
Job Number: JPC - 3534-3516-11534
Job Location: Mojave CA
Per Diem: --
Overtime: --
Start Date: 2022-05-02
Input Date: 04/05/2022
Last Updated: 11/28/2022
Firm Name: STRUCTURES COMPANY LLC
Attention: Megan giusti
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Supply Attendants (PFE Program)

Description:
STS Technical Services is hiring Supply Attendants in Atlanta, Georgia.

Hiring Note: The PFE program is a mentorship program with the opportunity to become a direct employee after 6 months.

Supply Attendant Responsibilities:
The Supply Attendant is responsible for warehousing and distribution of aircraft parts and related materials within logistics operation.
Duties include, but are not limited to: data accuracy, compliance processes, packaging preservation, proper binning, issuing, receiving and shipping of material managed in the stores operation.
Further responsibilities include, but are not limited to: responsible for safe operation of mechanical and manual material handling equipment; responsible for safe operation of company vehicle.
Qualifications:
Must be COVID-19 vaccinated, have 1st COVID-19 vaccination shot or willing to take COVID-19 vaccination.
Must have a valid driver’s license and High School Diploma.
Must be able to work ANY shift this is a 24/7 operation. Nights/weekends/holidays.
Should possess the ability to meet deadlines with high productivity and accuracy.
Prefer experience in warehousing and logistics.
Must be a team player with focus on customer service.
Must be able to work a flexible schedule including weekends and holidays.
Must be willing to work rotating shifts and weekends.
Additionally, candidate must be able to read and write English and have some working knowledge of personal computers.
Must be able to work well with others.
Must be able to work in a safe manner and be able to lift up to 80 lbs utilizing proper equipment or assistance.
Must be performing satisfactorily in present position.
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 36904
Job Location: Atlanta, GA
Input Date: 06/28/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Nikita Khassanov
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
T&A Assemblers

Description:
STS Technical Services is hiring T&A Assemblers in West Point, Mississippi.


Job Summary:

The T&A Assembler is responsible for the preparation of the truck and or parts prior to the paint process.

Responsibilities:
The T&A Assembly is responsible for setting the truck up to be painted.
This job entails, but is not limited to sanding, grinding, covering the truck with protective wrapping, and limited paint touch-up.
The employee will be exposed to fumes and dust particles from paint, grinding and sanding.  It may require climbing ladders and/or kneeling for extended periods of time.
The employee is responsible for masking and covering every part of the truck that is not to be painted.  For examples, indicator lights, air modules inside bumper, wench cables, hood, tail lights, door handles, wipers, brake discs.
Once the truck is properly covered, the exposed areas must be cleaned prior to painting.
Required Skills:
Must be respirator FIT tested.
Detail-oriented and consistent.
Works well as a productive member of a team.
Able to work well in a fast-paced environment.
Must be able to operate small hand tools such as orbital sanders and handheld grinders.
Education and Experience:
High School Diploma or equivalent
Able to demonstrate the ability to prep surface for paint application.
Physical Requirements:
Prolonged periods standing and performing repetitive tasks.
Must have manual dexterity to prep surfaces for paint application.
Vision abilities required include close, distance and color vision, depth perception and ability to adjust focus.
Must wear proper PPE as instructed by the safety department.
Must be able to bend, lift, stretch, climb, and crawl to prep a vehicle for paint.
Must be able to lift up to 50 pounds at times.
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 41286
Job Location: West Point, MS
Input Date: 06/28/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Allie Paulson
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Engineer (Secret)

Description:
A Test Engineer (Secret) job in Scottsdale, AZ is currently available through Belcan. In this role, you will be responsible for using your knowledge and understanding of test engineering concepts and principles to: Automate Test and Diagnostic programs using National Instruments suite of test software (LabView, LabWindows CVI and TestStand). To be considered for this role, you will have a Bachelors degree in Engineering, or a related Science or Mathematics field, plus a minimum of 5 or more years of relevant experience. A current active Department of Defense Secret security clearance is required at the time of hire.

Test Engineer (Secret) Job duties:

* Design test fixtures, interface boards, cables, equipment, and complete specifications and procedures throughout the engineering development/product life-cycle.
* Participates in the planning of technical approaches, resources, schedule, budget and equipment required for testing and evaluating new systems, products and special devices.
* Generate system test work products by applying the appropriate standards, processes, procedures and tools.
* Assists with the design, development and implementation of cost-effective methods of testing and troubleshooting of hardware, software and systems for all phases of product development and manufacturing.

Qualifications:

* A Bachelors degree in Engineering, a related specialized area or field is required (or equivalent experience) plus a minimum of 5 years of relevant experience; or Master's degree plus a minimum of 3 years of relevant experience.
* Proficient knowledge and experience with Test Software Programming, System Processes and Scripting Languages.
* Openly proposes ideas that enhance productivity and efficiency, solicits input, and optimizes solutions.
* Isolates and documents issues and defects (may include Root Cause and Corrective Actions).

If you are interested in this Test Engineer (Secret) position in Scottsdale, AZ please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers better outcomes through adaptive and integrated services - from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 323804
Job Location: Scottsdale, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 05/04/2022
Last Updated: 11/16/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Engineer

Description:
A Test Engineer role located in Tempe, AZ is currently available through Belcan. The Test Engineer position will perform qualification testing on a lithium-ion aircraft battery. You will own the planning and execution of the testing requirements detailed in the test procedures. You will perform test readiness reviews and pre-test briefings, author and maintain detailed test logs, and conduct data reviews to verify the success of the testing. Must work on-site.

Test Engineer:
* Oversee ATP/Qual test plans, equipment & hardware preparation, test setup, execution, and reporting while ensuring objectives are met.
* Perform testing according to test plan and document results
* Verifying correct instrumentation and test set up prior to conducting test.
* Design and direct the fabrication of test fixtures, related hardware and control equipment.
* Performing testing of battery system using power supplies, loads and data acquisition.
* Write and maintain test logs documenting equipment (test and UUT), testing activity and test results.
* Analyze test data for compliance to requirements.
* Perform post test disassembly/inspection of UUT and document results.
* Perform failure analysis on test equipment or UUT and document results.
*
EDUCATION AND EXPERIENCE REQUIRED:
* Bachelor's degree in Electrical, Mechanical, Aerospace Engineering or technical related field
* Minimum 10 years' experience as a test engineer experienced in testing and data analysis.
* Must be authorized to work in the US. ITAR Restrictions may apply
* Familiar with testing IAW DO-160/MIL 810 and MIL 461 (EMI and Environmental)
* Familiarity with Keysight data acquisition hardware and software

If you are interested in this Test Engineer role located in Tempe, AZ please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers' better outcomes through adaptive and integrated services-from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for over 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 322238
Job Location: Tempe, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 03/31/2022
Last Updated: 06/10/2022
Firm Name: BELCAN TECHSERVICES
Attention: FrancisOsolnick
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Tooling Engineer (Composites)

Description:
A Tooling Engineer (Composites) role located in Salt Lake City, Utah is currently available through Belcan. The Tool Design Engineer focuses on the design, engineering and management of production tooling for advanced composite structures and assemblies. The tooling engineer works together with manufacturing engineering and operations to create and optimize the tool string for quality, cost and throughput. The engineer communicates the tool requirements to potential suppliers through a Statement of Work and associated supporting data (e.g. CAD models, drawings, simulations, etc.) and participates in project tracking efforts. He or she applies their knowledge, experience and engineering techniques by providing solutions to engineering problems for tooling, manufacturing and supplier processes.

Tooling Engineer (Composites) JOB DUTIES
* Relevant experience in design and procurement of production tooling for complex aerospace layup, RTM, machining and assemblies in an aerospace team environment.
* Experience in tool design for manufacturing by engaging operations, manufacturing engineering and suppliers.
* Strong knowledge of GD&T. Working knowledge of other related disciplines such as assembly, machining, welding, and composite processes.

EDUCATION AND EXPERIENCE REQUIRED

* Prefer a BA/BS degree in a relevant engineering discipline. Experience may be substituted for education at management's discretion.
* Experience with 3D CAD tools (CATIA V5)
* Ability to create, understand and interpret engineering drawings
* Basic technical skills, theories and concepts related to the design and development of tooling for high performance composites, complex assemblies and structures.
* Prefer PTC Windchill
* Experience in clearly communicating project requirements, design concepts and project status both verbally and written (Microsoft Office).

If you are interested in this Tooling Engineer (Composites) role located in Salt Lake City, Utah please apply via the 'apply now' link provided.
Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers' better outcomes through adaptive and integrated services-from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for over 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 324175
Job Location: Salt Lake City, UT
Rate: --
Per Diem: --
Overtime: --
Duration: JOB-CONTRACT_TYPE
Start Date: --
Input Date: 05/10/2022
Last Updated: 07/14/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Tool Design Engineer- Active Secret

Description:
12 month contract
Must be US Citizen
Must Hold Active Secret

-Designs industrial machinery and equipment, tools, dies, gauges, jigs, fixtures, and machine attachments required for production or experimental use, considering such factors as accessibility, economy, mobility and performance requirements.
 
Job Number: BR6553
Job Location: Iuka, MS
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 months
Start Date: ASAP
Input Date: 06/02/2022
Last Updated: 06/29/2022
Firm Name: ADVANCED TECHNOLOGY
Attention: Brittany Rao
Address: 15 KENNETH MINER DR
City, State: WRENTHAM, MA 02093
800 Phone: 877/334-7700
Fax Phone: 877/335-7700
Website: www.advancedtechno.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Tool Design Engineer II

Description:
Inconen is looking for a Tool Design Engineer II for our client, a well-known Aerospace Company, in Tulsa, OK.

**Candidates must be a U.S. Citizen or Permanent Resident**

Unique Skills:
-This position is located in Tulsa, OK.

Education and Experience Requirements
-Bachelor's Degree Mechanical Engineering, or a related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
-Two (2) years of drafting/design experience to include documented experience in a tool shop or machine shop environment.
-Tool Design experience preferred.

Position Purpose:
-Performs engineering work related to the design of tools and equipment used in the manufacture and support of Gulfstream aircraft.

Principle Duties and Responsibilities:
Essential Functions:
-Under minimal supervision, design, tolerance and annotate tooling in 3D CAD environment that will be used in the manufacture and support of Gulfstream aircraft.
-Collaborate with engineering, manufacturing engineering and operations to determine optimal manufacturing procedures.
-Present design concepts to a design review team composed of representatives from manufacturing engineering, industrial engineering, operations, safety and other Tool Design Engineers.
-Review and check Tool Designs, as required, to ensure conformance to specifications, intent, methods and procedures.
-Write procedures on use of tools.

Additional Functions:
-Actively works to improve daily processes, and ensures all work meets customer requirements.
-Assist Tool shop as needed, during Periodic and Conformity Inspections.
-Assist in formulating Tool Design policies, procedures, and specifications as required.
-Perform other duties as assigned.

Other Requirements:
-Must possess strong CAD/CATIA modeling skills and have a clear understanding of GD&T.
-Demonstrated knowledge of materials, material strengths, heat treat method and uses.
-Ability to conceptualize the following with minimal supervision. assembly tooling, composite tooling, machine tooling, handling equipment, and scaffolding.
 
Job Number: 17792
Job Location: Tulsa, OK
Rate: $52.50 - $55.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 02/23/2022
Last Updated: 05/17/2022
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Tool and Design Engineer 2

Description:
Chipton-Ross is seeking a Tool and Design Engineer 2 for an opening in Redmond, WA.


RESPONSIBILITIES:
Acts in a coordinating capacity between tool design, manufacturing engineering and shops to resolve tool fabrication difficulties Assists in the establishment of project tooling and equipment requirements Provides advice on technical details used to develop economical and efficient type and class of project tools in order to produce acceptable parts in compliance with manufacturing specifications and standards Recommends changes to manufacturing specifications for simplification or improvement of tool designs Responsible for successful tooling based on efficiency, ease and safety of operation, ease of maintenance and cost of fabrication

Investigates and resolves tooling problems in manufacturing areas Observes tool tryouts for design suitability and recommends deviations and/or tool design changes Prepares design and fabrication cost estimates, schedules of materials and manpower Assists designers with problem areas and in correcting irregularities Develops special tooling necessary for the fabrication, testing and material handling required to support the production of our product lines May assign work to and direct less senior tool engineers and designers as required.



REQUIREMENTS:
Mechanical Tooling Design Experience, Electronics Tooling Design Experience, use of Unigraphics NX and PTC Creo CAD tools. Familiarity with special processes such as: Machining, Additive Manufacturing, Welding, Pressure Test and Vacuum, Vibration and Shock Testing, Aerospace Functional Testing.
Keywords:
CAD
DRAFTING
ENGINEER
FABRICATION
MANUFACTURING ENGINEERING
Additional Skills:
MATERIAL HANDLING
MECHANICAL DESIGN
TOOL DESIGN
AEROSPACE
AUTO CAD
CONVEYOR
COST ESTIMATES
CREO
FUNCTIONAL TESTING
INDUSTRIAL/MANUFACTURING
MACHINING
MAINTENANCE
PTC
TOOLING
TOOLING DESIGN
UNIGRAPHICS
VACUUM
WELDING
Languages:
English
Read
Write
Speak


EDUCATION:
: A Bachelor's degree in an appropriate discipline and two (2) years of directly related experience, or an equivalent combination of education and experience. This should consist of Mechanical Design, CAD tool usage, and drafting.

SHIFT:
9/80
 
Job Number: 197058
Job Location: Redmond, WA
Rate: $DOE
Duration: 6 months
Input Date: 01/13/2022
Last Updated: 06/13/2022
Firm Name: CHIPTON ROSS
Attention: Christophor Long
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Transportation Design Engineer

Description:
We have an outstanding career for opportunity for a Transportation/Roadway Design Engineer to join a leading Company located in the Phoenix, AZ surrounding area.

We are seeking a Transportation/Roadway Design Engineer. The ideal candidate will be able to demonstrate hands-on technical design capabilities in rural and urban roadways. Candidates must be self-motivated and able to work on and provide technical oversight on multiple projects and the ability to deliver high-quality reports, plans, specifications, and estimates.

Responsibilities:
Serve as Project Design Engineer providing design and analysis on urban and rural roadway projects
Develop concept studies, preliminary engineering, final design, technical specifications, and cost estimates for a wide variety of transportation projects
Develop geometric alignments, profiles, modeling, design sections, grading design, drainage, construction details, and signing and striping plans
Process design calculations
Coordinate and work with a team of project managers, design engineers, technicians, and support staff to successfully complete a variety of projects
Provides mentorship and guidance to entry-level engineers

Basic Hiring Criteria:
BS in Civil Engineering and AZ registered professional engineer required
Minimum 5 years of roadway experience

Desired Qualifications:
Civil 3D drafting and design experience required
Proficiency with Microstation and/or Inroads preferred
Proficiency with Microsoft Office software required
Good problem solving and technical writing skills
Ability to communicate clearly and succinctly, both verbally and in writing
Capacity to work efficiently and demonstrate effective organizational skills with limited supervision
 
Job Number: 50384
Job Location: Phoenix, AZ
Rate: Open
Per Diem: N/.A
Duration: Direct Hire
Start Date: immediate
Input Date: 03/14/2022
Last Updated: 05/09/2022
Firm Name: TAD PGS INC
Attention: Rachel M. Jackson
Address: 12062 VALLEY VIEW ST STE 108
City, State: GARDEN GROVE, CA 92845
Phone: 657/250-1893
800 Phone: 800/261-3779
Website: www.tadpgs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Traveling A&P Mechanics

Description:
STS Technical Services is hiring Traveling A&P Mechanics on behalf of Kalitta Air.

Hiring Note: Candidates must be authorized to work in the United States for any employer.

Reasons Why This is Awesome:
Our client will cover all of your hotel costs
Daily Per Diem
$3,000 sign-on bonus ($1,000 in first paycheck | Another $1,000 after 90 days | Final $1,000 after six months)
Motivated and looking to hire ASAP. There is a small team that needs to be ready to get to work by May.
Job Duties & Requirements:
Traveling A&P Mechanics to perform hangar maintenance on Boeing Commercial Aircraft, predominantly.
Kalitta Air's own fleet consists of B747s, B767s and B777s. Prior knowledge of each would be great but not entirely required.
The Traveling A&P Mechanic will inspect, service and repair our client's aircraft to ensure that they are operating both correctly and safely.
The Traveling A&P Mechanic will be responsible for ensuring that Kalitta Air's fleet of aircraft receive regular maintenance.
The Traveling A&P Mechanic may work on different parts of an aircraft such as the engine, landing gear, brakes and / or pumps.
The Traveling A&P Mechanic will work with special instruments designed specifically for aircraft maintenance of this kind.
The job may also involve standing on ladders and working at various heights.
The job duties of the Traveling A&P Mechanic will vary from preventive aircraft maintenance to aircraft repairs.
The Traveling A&P Mechanic will make routine inspections, documents inspections and repairs, performs scheduled aircraft maintenance and run tests after making aircraft repairs or performing aircraft maintenance.
Job duties may vary based on which part of the aircraft is being serviced.
A mechanic working on the exterior of a plane may be fixing a broken aircraft wing, repairing aircraft paint or securing an aircraft door so that it seals properly.
Traveling A&P Mechanic working on an aircraft engine may be changing oil, replacing belts or repairing a broken part.
Qualifications:
Traveling A&P Mechanics must know, and follow, all regulations set by the FAA.
FAA regulations govern the maintenance schedules, inspections and repairs made to aircraft.
The FAA conducts regular checks on all aircraft equipment and ensures regulations are being met.
Given the fact that our client is willing to pay for your hotel, we are accepting applications from across the United States.
Successful hires will need to have active A&P certifications in order to be considered.
2-5 years of relevant experience as an A&P Mechanic is perfect, but we will consider anyone with active A&P certifications.
About STS Technical Services:

STS Technical Services is a Top 100 Staffing Firm that’s partnered with some of the largest names in the aerospace, manufacturing, defense and industrial industries.

Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore!

If you want to speak to a Recruiting Professional directly, call 1-800-359-4787.

STS Technical Services is an equal opportunity employer.

#ZR
 
Job Number: 41850
Job Location: Oscoda, MI
Input Date: 06/28/2022
Firm Name: STS AEROSTAFF SERVICES
Attention: Amber Mallak
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

Back to Advanced Job Search