Job Search Results

808 listings were found that matched your search words: Keywords: Software ( Please note: We assume that all U.S. jobs are "EOE/M/F/D/V" unless otherwise noted. ) ( Listing generated 2024/04/25 Thursday 08:11AM Pacific Time by ContractJobHunter. )

Listings 1 - 808

Go To My Job Tracker

Expand all descriptions

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2469 - AVIONICS/ELECTRICAL SYS. - AFTERNOON, MIDNIGHT & WEEKEND SHIFT

Description:
Provide Avionics Engineering support to the Client Final Line Assembly during afternoon, midnight and weekend shift.

Witness system functional testing and conduct technical investigations on Electrical and Avionic systems related issues, analyze and develop solutions/recommendations and reports. Disposition RFCs, RNCs, and Supplement 2s as required.

Review functional test requirements and ensure translation into mature functional tests.

Track and ensure successful implementation of systems installations and modifications.

Work with the product development team to assist with technical proposal activities, including preparation of written material and presentations. Recommend and review design changes.

Develop good working relationships with various departments within BA and with all suppliers.

Bachelor of Engineering Degree and eligibility for Professional Engineer registration.

AME license is an asset.

Experience in providing engineering support to a Final Line/ Production.

15 years' experience in aircraft electrical systems and or avionics systems (Electrical Generation, Distribution, Lighting, Navigation, Communication, Displays, EICAS, Data Concentration System, AFCS, Stall System, OMS, FDR, CVR etc).

Conversant with design, qualification and certification test requirements of Aircraft Electrical and or Avionic systems.

Have flexibility to travel.

Familiar with Part 25 certification requirements and advisory material.

Possess strong integration skills, in both system partner management and system technical integration.

Familiar with Microsoft Office software tools.

Strong communication skills, ability to work well in a multi-disciplinary team environment.

Regular work day, some flexibility required:

Afternoon Shift (3:30 to 12:00) ;

Midnight Shift = (11:00 PM to 6:30 AM);

Weekend Shift = Friday, Saturday, Sunday and Monday.
Friday (3:30 PM -12:00 (midnight)),
Saturday (6:00 AM to 6:00 PM),
Sunday (6:00 AM to 6:00 PM)
Monday (8:00 AM 8 to 4:30 PM)
 
Job Number: 2469
Job Location: Canada
Rate: 62.00 US
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 12+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2471 - PROPULSION/PNEUMATIC SYSTEMS - AFTERNOON SHIFT

Description:
Provide Propulsion/Pneumatic Engineering support to the Client Final Line Assembly during afternoon shift.

Witness system functional testing and conduct technical investigations on Propulsion and Pneumatic systems related issues, analyze and develop solutions/recommendations and reports. Disposition RFCs, RNCs, and Supplement 2s as required.

Review functional test requirements and ensure translation into mature functional tests

Track and ensure successful implementation of systems installations and modifications

Work with the product development team to assist with technical proposal activities, including preparation of written material and presentations. Recommend and review design changes

Develop good working relationships with various departments within BA and with all suppliers

Bachelor of Engineering Degree and eligibility for Professional Engineer registration. AME license is an asset

Experience in providing engineering support to a Final Line/ Production

15 years' experience in aircraft Propulsion and/or Pneumatic systems (High and Low Pressure Ducting, Environmental Control System, Avionics Cooling, Oxygen System, Power plant, Fuel systems, etc)

Conversant with design, qualification and certification test requirements of Propulsion and/or Pneumatic systems

Have flexibility to travel.

Familiar with Part 25 certification requirements and advisory material.

Possess strong integration skills, in both system partner management and system technical integration

Familiar with Microsoft Office software tools

Strong communication skills, ability to work well in a multi-disciplinary team environment.

Regular work day, some flexibility required:

Regular Shift = Afternoon Shift (3:30 to 12:00) ;
 
Job Number: 2471
Job Location: Canada
Rate: 62.00 US
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 18+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2500 - ELECTROMAGNETIC COMPATIBILITY (EMC) ENGINEER - cv, word doc only - On Hold

Description:
Responsible at the aircraft and system level for Electromagnetic Compatibility (EMI)/High Intensity Radiated Fields (HIRF)/Lightning Strike/ Electrostatic Discharge technical requirements.

Knowledge of relevant industry standards (RTCA/DO-160, SAE ARP) and high level of familiarity with airworthiness (TCCA, FAA, EASA) Regulations and Advisory Materials pertaining to EMI, HIRF, Lightning and Electrostatic Discharge.

Execute intermediate to complex engineering assignments related to EMI, HIRF, and Lightning and Electrostatic Discharge requirements.

Analyze new systems designs, assess technical impacts, propose EMC compliance approaches, review/approve technical documents, test plans, test procedures and test reports, and check compliance with certification regulations.

Define scope of work for EMC effort of technical/engineering projects, estimate the time required, plan, highlights technical risks and execute according to priorities.

Define technical requirements, test requirements and perform detailed analysis to ensure aircraft and systems compliance to EMC certification regulations.

Prepare EMI, HIRF, Lightning and Electrostatic Discharge test procedures, execute tests in laboratories and on aircraft and generate results and analysis test reports.

Support and witness EMC tests in Canada, United States and Europe as needed.

Master degree in Electrical or EMC Engineering, or alternatively a Bachelor's degree in Electrical or Physics Engineering with a minimum of eight (5) years of experience in aircraft EMC/HIRF/Lightning/Electrostatic Discharge (FAR 25.981 is an asset).

8 years of experience or more in an aerospace manufacturing company.

Have EMC bench and/or aircraft testing experience.

Have a minimum of five (5) years working experience with systems qualification/certification.

Knowledge of harness design, aircraft design principles including familiarity with aircraft regulatory requirements.

Proficient with the use of PC including Microsoft Office software tools.

Energetic person with strong written and oral communication and interpersonal skills, a high sense of commitment, and a willingness to accept responsibilities while acting independently in a high-pressure partner/supplier team environment.

Must be familiar with DO160, STD ARP, MIL STD, FAA regulations, as well as other applicable airworthiness regulations.

Must be able to analyze modifications at aircraft level and check compliance with certification regulations.

Must be able to support and witness EMC tests in Canada, United States and Europe as needed.
 
Job Number: 2500
Job Location: Montreal, Canada
Rate: 52.00
Per Diem: Paid 3 Months only
Overtime: Paid NOT at 1.5
Duration: 36+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2502 - PROJECT MANAGER - METHODS - cv word doc only

Description:
Coordinate internal and external activities between different functions.

Obtain stakeholder engagement to achieve results.

Establish project schedules and participate in the development of the site plan.

Identify project risks and provide visibility on mitigation plans to mitigate / reduce risks.

Establish contact with internal and external collaborators on timelines and deliverables.

Communicate project progress through presentations and report writing.

Collect and analyze project data from different functions.

Meet key performance indicators of the program department and obtain the commitment of stakeholders.

Work on problems of various magnitudes; Apply knowledge of intermediate to complex problems, being able to work on tasks / non-standard requests.

Grasp the problems from different perspectives, innovate to achieve non-obvious solutions to problems.

Bachelor Degree in Engineering, must have previous experience in project management, preferably within an aerospace engineering environment.

Must have 8 or more years of relevant aerospace experience.

Bilingual - have a good command of French and English.

Have intermediate knowledge MS Office software suite (Word, Excel, PowerPoint).

Have knowledge at beginner or intermediate level of Microsoft Project.

Must have knowledge on implementation of change management activities.

Have strong aeronautical knowledge, especially in the industrial engineering (Methods) domain.

Must have strong experience in Methods, Logistics or Finance domain.

ASSET: Knowledge of VBA programming language.

An understanding of aerospace product certification is an asset.

Knowledge of SAP is an asset.

Must have excellent organisational skills and able to work toward aggressive deadlines.
 
Job Number: 2502
Job Location: Montreal, Canada
Rate: 52.00 US
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 12+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
ATE Test Engineer (291)

Description:
This is a full time, 6 month contract, located in Grand Prairie, TX*



We are hiring for an Automated Test Equipment Engineer who will Design/develop/deploy test equipment hardware and software using automated tools such as LabView, LabWindows/CVI and Teststand. Candidate will work with high performance data acquisition and control, in the presence of multi-threaded, multi-protocol military radio and instrumentation communications. Responsibilities will include working on failure mode analysis, timing synchronization, and closed loop feedback as well as validation and calibration sequences.
For this position, typical candidates will have a background using varied BUS and protocols including some combination of: IEEE-488, I2C, SPI, USB, 1553, NTDS, RS-485/422/232, Serial, SNMP, TCP/IP, HTML, and Ethernet.

Daily duties may include working with Spectrum Analyzers, Network/Signal Analyzers, Signal Generators, RF Power Meters and Attenuators/Switches/Couplers, Function/Waveform Generators, Data Acquisition and Control Systems, DMMs, High Voltage P/S, Analog I/O, Digital I/O, RF I/O, National Instruments PXI/VXI, Test Method Development, Test Plan/Procedure Development, Data/File Transfer, Distributed I/O, TE Design for Manufacturability, Schematic Interpretation, RF Equipment Specification Review and Selection, RF Communications, Hardware Driver Development, Software Driver Development
Required:

*4+ years' experience designing test stations using test console software with one or more of the following: Labview, TestStand, or Lab Windows CVI.
*Experience in C software development.
*Requires an Active Secret Clearance, which requires applicants to be US Citizens.
*BSEE or equivalent degree



Pluses:
*Developing ATEs for military electronics
*Familiar with test stations such as an ITA (interface test adapter), test console ( drivers, interaction of test equipment software with UUT (unit under test)
 
Job Number: 291
Job Location: Grand Prairie, TX
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Ada Embedded Software Engineer (728)

Description:
***Must have Active Secret Clearance to Apply***

CSS Staffing is seeking an Ada Embedded Software Engineer with recent experience with later Ada versions (such as Ada 2012) and its features. Embedded real-time software development experience, object-oriented design, and Linux development environment. DoD Secret clearance is required.
Required Skills
*Extensive software development experience with embedded real time systems using Ada
*Ada (later version such as 2012), Linux development environment, embedded real time systems, and object oriented design experience.
*Linux OS as a real-time operating system Structured software development environment, and algorithm development
*Bachelor Degree in Computer Science or other Engineering degree.
Desired Skills
*Python and Git
 
Job Number: 728
Job Location: Merrimack, NH
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aerospace Engineer - Avionics

Description:
The Aerospace Engineer, Avionics position located here in Moses Lake, WA is responsible for supporting for the focal avionic systems engineer in developing, testing and certifying systems for a project. This role requires the significant exercise of independent discretion and judgment in matters of significance.


Job Duties and Responsibilities:

Review and support Avionics Certification Activities.
Create and review Certification Plans.
Create and review Component Qualification Test Plans, Qualification Test Procedures and Qualification Test Reports.
Review qualification by similarity and/or analysis reports.
Review system safety documents (PSSA, SFHA, ADHA, SSA and Particular Risk Analysis).
Create, review and approve ground test procedures, flight test procedures and their corresponding test reports.
Perform Component Drawing Reviews.
Complete aircraft assembly and installation drawing reviews.
Participate in System Design Reviews.
Coordinate with suppliers to review technical data, certification requirements and troubleshoot any issues with supplies.
Coordinate with certification authorities to seek clarification on requirements.
Review certification guidance material (advisory circulars, etc.) and incorporate the necessary guidance into the certification plans.
Create, review and approve interface control documents and any sub level writing interface documents.
Support Avionics Flight Testing Activities, including but not limited to:
Discuss, write and review test plans and preparation activities with flight test team.
Help prepare and review pre and post flight briefing.
Monitor live flight data, typically via telemetry, during flight test on aircraft.
Process, analyze, and draw conclusions from post flight data.
Make assessments to ensure safety pf flight determination.
Document flight test design problems for long term fix.
Generate and review appropriate limitations documentation.
Complete special projects and tasks assigned by Group Lead.



Requirements
Knowledge/Skills/Abilities

Knowledge of system development activities and flight certification activities, including knowledge, skills and competency with avionic systems utilized in vehicle design (Comm/Nav/Surveillance/FMS/Displays/Recorders/CPDLC/CAS/antenna installations/VHF).
Good leadership presence as well as people management skills:
Future-oriented in thinking and operation.
Able to lead by example and live/work by company values.
Ability to successfully contribute to a positive and productive work environment.
Able to in still a sense of urgency in team members.
Able to be patient and objective in difficult situations with different types of people.
Strong customer service tool box:
Professional mannerisms, appearance and actions (self-confident and committed to high ethics).
Strong follow-through, quick thinking and resourceful.
Ability to remain calm, cool and collected in stressful situations.
Strong sense of urgency to resolve customer needs.
Strong organizational, time management and prioritization skills:
Able to multi-task, maintain focus on several different projects at one time and hit deadlines.
Able to be flexible with attention and priority.
Ability to work in a progressive, fast-paced environment (work well under pressure).
Strong analytical skills, with an ability to troubleshoot, problem-solve and effectively and efficiently make decisions.
Strong communication skills (oral, written, presentation) with both external and internal customers.
Act as an active listener, seeking to understand and then to be understood, articulating clearly and confidently.
Uses people relationship and business management skills to make decisions on what and when to communicate with employees and customers.
Excels at communicating clearly an effectively verbally, Strong proficiency in writing summaries, documents, reports, and presentations.
Strong interpersonal skills, with the ability to build strong relationships at all levels. Ability to influence others as well as relate to individuals at all level of the organization.
Good project management skills, including the ability to take ownership for accomplishing assigned tasks. Results-oriented planner and delegator who ensures that goals are met. Able to set priorities and keep to projected schedules.
Computer Skills: Comfortable and effective working in Microsoft Office. Intermediate Excel proficiency required. Able to quickly learn new software and systems.
Proven track record of improving the efficiency of assigned processes or procedures.
Education

Four (4) year degree in Engineering (Aerospace, Avionics, Electrical, or Instrumentation).
Other Engineering fields may be considered.
Experience

Aerospace engineering experience in Electrical Systems, including experience in the electrical aspects of the various systems and components and/or controllers on the airplane (Comm/Nav/Surveillance/FMS/Displays/Recorders/CPDLC/CAS/antenna installations/VHF)
 
Job Location: Moses Lake, WA
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Calderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aerospace Engineer - Electrical Systems

Description:
Role Description

The Aerospace Engineer, Electrical Systems position located here in Moses Lake, WA is responsible for supporting for the development, testing and certification of the electrical aspects of the various systems and components and/or controllers on the airplane (e.g. ECS, fuel, hydraulics, engines, fire protections, anti-ice, lights, electrical power, wiring, etc.). The role requires the significant exercise of independent discretion and judgment in matters of significance.



Job Duties and Responsibilities:

Review and support Certification Activities.
Create and review Certification Plans.
Create and review Component Qualification Test Plans, Qualification Test Procedures and Qualification Test Plans.
Review qualification by similarity and/or analysis repots.
Review system safety documents (PSSA, SFHA, ADHA, SSA and Particular Risk Analysis).
Create, review and approve ground test procedures, flight test procedures and their corresponding test reports.
Perform Component Drawing Reviews.
Complete aircraft assembly and installation drawing reviews.
Participate in System Design Reviews.
Coordinate with suppliers.
Coordinate with certification authorities.
Review certification guidance material (advisory circulars, etc.) and incorporate the necessary guidance into the certification plans.
Support Flight Testing Activities, including but not limited to:
Help determine test specification definition.
Discuss, write and review test plans and preparation activities with flight test team.
Help prepare and review pre and post flight briefing.
Monitor live flight data, typically via telemetry, during flight test on aircraft.
Process, analyze, and draw conclusions from post flight data.
Identify non-conformances, quality escapes, etc. to crate rejection tags.
Make assessments to ensure safety pf flight determination.
Document flight test design problems for long term fix.
Generate and review appropriate limitations documentation.
Write flight test result reports.
Electrical Systems.
Creating instrumentation definitions for the electrical systems (locations of instrumentation, sample rates, unit definitions, etc.)
Release of engineering documentation for component changes and new installation, ensuring appropriate configuration control
Complete special projects and tasks assigned by Group Lead.


Requirements
Knowledge/Skills/Abilities

Knowledge of flight test operations and flight certification, including knowledge of electrical aspects of the various systems and components and/or controllers on the airplane.
Good leadership presence as well as people management skills:
Future-oriented in thinking and operation.
Able to lead by example and live/work by company values.
Ability to successfully contribute to a positive and productive work environment.
Able to instill a sense of urgency in team members.
Able to be patient and objective in difficult situations with different types of people/
Strong customer service tool box:
Professional mannerisms, appearance and actions (self-confident and committed to high ethics).
Strong follow-through, quick thinking and resourceful.
Ability to remain calm, cool and collected in stressful situations.
Strong sense of urgency to resolve customer needs.
Strong organizational, time management and prioritization skills:
Able to multi-task, maintain focus on several different projects at one time and hit deadlines.
Able to be flexible with attention and priority.
Ability to work in a progressive, fast-paced environment (work well under pressure).
Strong analytical skills, with an ability to troubleshoot, problem-solve and effectively and efficiently make decisions.
Strong communication skills (oral, written, presentation) with both external and internal customers.
Act as an active listener, seeking to understand and then to be understood, articulating clearly and confidently.
Uses people relationship and business management skills to make decisions on what and when to communicate with employees and customers.
Excels at communicating clearly an effectively verbally, Strong proficiency in writing summaries, documents, reports, and presentations.
Strong interpersonal skills, with the ability to build strong relationships at all levels. Ability to influence others as well as relate to individuals at all level of the organization.
Good project management skills, including the ability to take ownership for accomplishing assigned tasks. Results-oriented planner and delegator who ensures that goals are met. Able to set priorities and keep to projected schedules.
Computer Skills: Comfortable and effective working in Microsoft Office. Intermediate Excel proficiency required. Able to quickly learn new software and systems.
Proven track record of improving the efficiency of assigned processes or procedures.
Education

Four (4) year degree in Engineering (Aerospace, Avionics, Electrical, or Instrumentation).
Other Engineering fields may be considered.
Experience

Aerospace engineering experience in Electrical Systems, including experience in the electrical aspects of the various systems and components and/or controllers on the airplane (e.g. ECS, Fuel, hydraulics, engines, fire protections, anti-ice, lights, electrical power, writing, etc.).
 
Job Location: Moses Lake, WA
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Calderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Altium PCB/PWB Wiring Board Design Engineer -RF (191)

Description:
*This is a full time, 6 month contract (contract to hire is possible), located on site only in Lansdale, PA.



We are recruiting for a PWB/PCB wiring designer/engineer who has current experience using Altium PCB design software (version 14-16, 16 is preferred).



Candidate will be creating accurate 3D models of RF (radio frequency), multi-layer PCB board assemblies, wire routing and PCB/PWB layouts. AutoCAD 12 will be utilized to document the boards upon completion.



Contractors will generate schematics, creating preliminary parts placement, eventually leading to full use of the Altium design tool.



Required:
*1-2 years’ CURRENT experience engineering/designing PCB/PWB using Altium PCB software.
*Experience in documentation, schematics and drawings, BOMs.
*Per diem split is available for candidates residing out of the area.

*No initial relocation assistance (of any kind) is available for this position
*2 year degree is highly preferred



Preferred:

*High Frequency RF board design (and multi layer) experience
*Understanding of IPC-2221 standards.
*Schematic Capture Concept HDL knowledge
 
Job Number: 191
Job Location: Lansdale, PA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Autoflight or Flight Deck test engineer

Description:
Experienced Autoflight or Flight Deck test engineer for large military and/or commercial aircraft. Ability to lead the development of test plan scope, procedures and schedule at the program level. Strong systems engineering experience managing/mapping verification requirements (i.e. DOORS) and certification regulation methods of compliance. Applies lessons learned to the improvement of test procedures. Guides others in the design of test hardware, software and systems to achieve test requirements. Works well as a member of the test team. Leads the analysis, processing and formatting of data to customer requirements. Approves test data prior to delivery to customer. Formulates expectations for test data based on historical data. Performs functions as required to ensure calibration system readiness and data validity. Trains others. Works under minimal direction.
 
Job Number: 130524
Job Location: Tukwila, WA
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Shailendra Mishra
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aviation Material Inventory Analysts

Description:
STS Technical Services is Hiring Aviation Material Inventory Analysts in Long Island City, New York!

We are a premiere staffing agency providing high-paying contract and direct-hire employment opportunities. We’d love to partner with you to help you take your career to new heights. Check out the following information about this incredible employment opportunity we have right now with a great client of ours.

Position Summary:
The Coordinator is responsible for monitoring accuracy of inventory data and makes amendments to inventory records. The position is accountable for communicating relevant data to Corporate Audit, Finance, and Materiel leadership including irregularities and cost saving initiatives.

Here’s What You’ll Be Doing:
Compiles, reconciles and reports station inventory
Prepare semiannual spare parts appraisal
Reconciles inventory discrepancies within TRAX and monitors internal controls when needed
Reports financial adjustments to Accounting Management
Adhere to Sarbanes Oxley 404 and inventory Risk Assessment Management (RAM) are in compliance and file with E & Y, SH & E and Corporate Audit
Provides periodic statistical information to leadership regarding trends, recommendations and future initiatives
Review and analyze the missing parts queue and inventory discrepancies
Performed material station and third party audits and builds inventory control

Qualifications You’ll Need To Have:
High School Diploma or General Educational Development (GED) Diploma
Proficient in Inventory Control System i.e. TRAX
Working knowledge of Microsoft office suite software such as MS Word/Excel/Powerpoint
Available for overnight travel (70%)
Possess and maintain a valid Driver License and driving record that meets insurance standards
Pass a ten (10) year background check, pre-employment drug test, and criminal history records check (CHRC)
Must be able to acquire and maintain a valid Security Identification Display Area (SIDA) Badge
Valid travel documents with the ability to travel in and out of the United States
Legally eligible to work in the United States

Qualifications That Would Be Great To Have:
Bachelors in Accounting or Business or related discipline
Certified Six Sigma Green Belt
One (1) year airline industry experience

Benefits:
STS Technical Services offers direct deposits / electric payroll, an awesome medical insurance program, cash advances, and the opportunity to refer a friend for compensation.

We know it takes great people to do great work, so if you’re interested in joining the STS Aviation Group family, we encourage you to apply on this page. Have questions? Give us a call at 1-800-359-4787.

Thanks for taking the time, and we look forward to hearing from you soon.
 
Job Number: 42930
Job Location: Long Island City, NY
Duration: Contract
Input Date: 11/14/2020
Firm Name: STS AEROSTAFF SERVICES
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionic System Engineer

Description:
General Summary:
The Avionics System Engineer will be responsible for the design, development, and integration of the assigned avionics systems for the aircraft.

Duties and Responsibilities:
• Perform systems level integration activities for aircraft avionic systems including: Control and Display Systems; Navigations Systems; Communication Systems; Surveillance Systems; and Cabin Management Systems
• DO-160F/G, DO-178B and DO-254 experience required.
• Define, plan, and develop complex systems level requirements to support the avionic systems for the aircraft
• Provide technical assistance to production and customer service departments for support of system technical inquires and issue resolution
• Perform system evaluation and analysis to verify the system design compliance with functional requirements
• Conduct system lab testing and aircraft functional testing
• Prepare presentations on avionics system design/development status
• Support system analyses for avionic systems

Qualifications
Education, Work Experience, Certification and/or Licensure:
• Bachelors’ degree in Engineering; preferably in Electrical/Electronics Engineering or Aerospace Engineering
• Minimum of 6 years to a maximum of 10 years’ experience working with integrated aircraft avionic systems in the areas of design engineering, system analysis, and system test

Knowledge, Skills and Abilities:
• Working knowledge of design requirements of 14CFRPart 23
• Proficiency in writing technical documentation and reports involving test data and analysis
• Familiarity with avionics/electrical test laboratories and lab equipment
• Working knowledge of System qualification requirements including DO-160E/F for environmental, DO-178B for software, and DO-254 for complex electronic hardware
• Proficiency in requirements management tools such as DOORS
• Experience with PLM Tools such as TeamCenter and SAP

The Structures Company is an AA/EOE.
 
Job Number: 253
Job Location: NC
Rate: $60/Hr.
Per Diem: Yes
Overtime: Yes
Duration: 12+ Months
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Blockchain Application Developer

Description:
Responsibilities:


  • Development, testing, and deployment of n-tier software applications

  • Create technical documentation as required

  • Participate in requirements gathering and technical review meetings at milestones throughout the project

  • Provide excellent status and communication on project tasks

  • Research and apply emerging technologies to improve current applications, architectures and processes


Qualifications:


  • 2+ years' experience with C# and .NET application development

  • Solid communication and requirement gathering skills

  • Desire to gain client interaction experience

  • Attention to detail

  • Excellent interpersonal skills

  • Ability to multi-task

  • Self-directed and self-motivated

  • Loyal and committed to technology

  • Bachelor's Degree in Computer Engineering, Computer Science, Management Information Systems or the equivalent in experience

  • Previous consulting experience preferred

  • Joy of learning new skills, technologies, and passionate about conveying knowledge

  • Excellent problem solving skills: both at the frontline and incident to problem management

  • Excellent communication skills and the ability to work effectively in consulting environment.

  • Excellent documentation skills (i.e. technical writing)




Desired Skills:


  • Consulting experience

  • Windows Azure Cloud Platform

  • Modern Web and API frameworks (Angular, ASP.NET Core, Web API)

  • Experience with HTML, JavaScript, CSS

  • Ethereum smart contract development with Solidity

  • Decentralized Application (DApp) development with Ethereum and web3 libraries

  • Experience with Azure Blockchain Workbench




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.


 
Job Number: 18-44322
Job Location: Brookfield, WI
Rate: 60.0USD/Hour
Per Diem: --
Overtime: --
Duration: Direct
Start Date: 2018-10-17 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Jacob Vana
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Board Design Engineer

Description:
The position requires extensive experience in layout and routing of mid-to-high level PCB designs that include mixed signal architecture utilizing high-speed digital and analog circuits, impedance controlled differential pairs, switching and linear power supplies, matched length routing topologies

In depth knowledge of the PCB fabrication process, the PCB assembly process as well as drafting standards and practices is necessary.

Expert user of Mentor Graphics DxDesigner/Xpedition software tools is highly recommended.
Experience using CAM350, Valor NPI are positives.
 
Job Number: 45200
Job Location: FL
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
C, C++ RTOS Software Engineer Secret Clearance (US Citizens Only!) (175)

Description:
*This is a 1 year, contract position, located ON SITE in Orlando, FL*

We are hiring a contract Real Time embedded C++ software engineer who will be working on Secret/Top Secret projects for a military/DoD subcontractor. The position requires a candidate with strong background in the full development lifecycle including architecture design. Applicant will be working on supporting integration of software functionality into tactical military hardware.

All work is conducted ON SITE only, by US Citizens who are actively SECRET Cleared

Required
*4-10 years in a DoD software role, developing software in embedded C/C++.
*Experience with VxWorks/Linux or Integrity RTOS environment

*Current Active (or active in last 2 years) Secret Clearance; which requires US Citizenship in order to apply.
*BS degree in Engineering, Computer Science or equivalent



Pluses:
*Familiar with communication busses/protocols such as RS-232, RS-422, MIL-STD-1553 and Ethernet
*Experience developing with C#, familiar with Qt
*Development in an Open architecture, multi-core processing environment.
 
Job Number: 175
Job Location: Orlando, FL
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Computer Systems Analyst 3 CA

Description:
Computer Systems Analyst 3 (Job Number: 18022151)

Number of Openings: 1

Location(s): United States-California-Redondo Beach


Shift: 1st Shift

Target Start Date: Dec 24, 2018

Target End Date: Dec 20, 2019

Description

Title: Computer Systems Analyst 3

Rate: $52-$57/hour

Location: Redondo Beach, CA

Duration: 12 Months

Shift: 1st Shift - 9/80

Clearance: Not Required

Essential Functions:

•Develops computer systems specifications that address business requirements and that fit with the companies system architecture standards.
•Establishes and documents system parameters and formats, ensures hardware and software systems compatibility and coordinates and/or modifies system parameters in terms of existing and projected computer capacity and capabilities.
•Revises existing systems and procedures to correct deficiencies and maintain more effective data handling, conversion, input/output requirements, and storage.
•Manages all aspects of ongoing projects and serves as liaison between various stakeholders.
•Reviews status of projects and budgets; manages schedules and prepares status reports.
•Assesses project issues and develops resolutions to meet productivity, quality, and client-satisfaction goals and objectives. Individual will be responsible for managing large and small scale projects for programs and functional homeroom.


Basic Qualifications:
•A minimum education of a Bachelor's Degree in s STEM discipline (Science, Technology, Engineering or Mathematics) A minimum of 5 years’ experience with a Bachelor's Degree (3 years with a Master's degree and 0 with a PhD.) in C#, MS Visual Studio, ASP.NET MVC, JavaScript , AJAX, XML, Web Services and related technologies, T-SQL and DTS packages for MS SQL Server, MS VBA, SSRS.
•Working knowledge of Python and ShinyR. Experienced in machine learning, advanced analytics, and data visualization.
•Candidate must be able to receive requirements at a high level and derive lower level requirements by working directly with internal customers turning complex processes into user-friendly tools.
•Candidate must be able to perform all phases of the development lifecycle: initial requirements gathering, architecture design, development, testing, and training.
•Candidate must be able to juggle multiple assignments and manage changing priorities.

Preferred Qualifications:

•A Master's Degree in a STEM discipline (Science, Technology, Engineering or Mathematics)

•Strong understanding of product quality and statistical process control.
•2-3 years of experience working with statistical process control.
•Strong project management and communications skills are highly desired.
•Ideal applicant will be enthusiastic about process improvement, efficiency, excellent customer service, and working with different teams from all functions.
•Strong project management and communications skills are highly desired
 
Job Number: MB-1689ATO
Job Location: Redondo Beach, CA
Rate: 52-57/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Configuration Manager (CM) / Drawing Support (130)

Description:
*This is a 3-6 month CONTRACT to HIRE position located in Baltimore*



We are looking for a documents and drawings Configuration/Data Management (DM or CM) person who will help with the CM/DM plans to release software code/ CAD models and other data into the PDM. Applicant will also maintain drawing library/part data, BOMs and drawing changes and manage the logs of all data.



The contractor will develop the CM plan, manage the changes, conduct training, generate reports from the PDM, lead the Configuration Control Boards (CCB) and handle meeting records. Candidate will be supporting the delivery, maintenance and any audits (PCAs) of the of the Technical Data Package (TDP) as well as supporting of the ISO, CMMI, Defense Contract Management Agency (DCMA), Quality and corporate audits. Support CDRL deliveries.



Required:
*8 years’ experience in Configuration Management/ Data Management leadership
*Able to read blueprints, drawings, ECO’s, EBOMs; good report writing, including proposals
*Enovia skills and good MRP/ERP experience (Made2Manage, preferred)
*Able to make customer presentations
*2 year degree



Pluses:
*Unipoint experience
*Familiar with drawing and CAD applications
*Knowledge of Design engineering standards and processes, and Configuration Management (CM) for MIL STD such as MIL-HDBK-61A, MIL-STD-31000 Industry standards including: EIA-649B, ISO 10007, CMMI Drawing standards including ASME standards such as MIL-STD-100.
*Training in Configuration Data Management (CDM). NDIA Configuration Data Management (CDM) certification
 
Job Number: 130
Job Location: Baltimore, MD
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Connectivity Engineer (IoT)

Description:
Our premier client is searching for an individual on a direct-hire basis to fill a Connectivity Engineering position for their state of the art manufacturing facility in the Milwaukee, WI area. The following is a list of some of their desired qualifications and attributes:



- Define technical requirements and specifications for IoT platforms (communication devices, embedded software, edge computing, cloud computing)

- Implement IoT technology platforms/solutions

- Conceive, design, develop, implement and test advanced system security solutions and platforms

- Perform scientific experiments and lead proofs-of-concept efforts while partnering closely with business unit leaders to determine value propositions

- Lead the hands-on design, development and validation of a variety of IoT proof-of-concept projects

- Participate in design reviews, " Evaluate and qualify partners

- Drive the requirements engineering process for translating business level use cases to clear technical requirements

- Prior experience using application integration or SOA design patterns

- Designing highly available applications with responsibility for infrastructure robustness, including networking, communications, server hardware and storage

- Experience working with applications backed by cloud service

- Outstanding interpersonal and presentation skills/High level of comfort communicating effectively across internal and external organizations



Requirements:

- Bachelor's Degree in IT, information systems or engineering with at least 8+ years of experience overall

- Six + years solutions architect experience/end to end platforms

- IoT understanding from connectivity and data management perspective

- Direct experience implementing IoT services and capabilities

- 5+ years experience in embedded systems and platforms, including SoC and Edge computing is a plus

- Experience working with external or internal customers to implement large-scale solutions



Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.


 
Job Number: 18-44130
Job Location: Milwaukee, WI
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Duration: Direct
Start Date: 2018-10-16 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Jacob Vana
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Cyber Security Engineer

Description:
Cyber Security Engineer
Duration+ 12 Months (Possible Direct)
Location: Richardson, TX
PLEASE NOTE:
Candidates must be US Citizens
The candidate must possess a STEM degree and be compliant with DoD 8570.01-M. The candidate must possess a strong understanding of operating system, computer architecture, and security fundamentals
Active clearance required....either active SECRET or active TOP SECRET are acceptable and MUST be in place on day 1
All hours will be paid at the straight time rate
Possible up to 10% domestic travel
Our client is seeking an experienced cyber security engineer knowledgeable in RMF and TYPE 1 certifications to support a diverse portfolio of research and development activities within our Mission Systems Engineering Organization.
Our client's cyber research team is focused on developing new and innovative security technologies for defense and military Command and Control (C2) and Command, Control and Communications (C3) systems architectures, in order to enable advanced capabilities of modern day and future weapons systems.
As a subject matter expert, the person in this role will serve as a principal investigator, leading diverse research teams and aligning technology development with customer needs and market opportunities.
Additional responsibilities include collaborating with business partners to facilitate successful technology transitions. The person hired for this job will be asked to guard some of the most sensitive data in the world along side other experienced cyber security engineers.
Everyday new threats and risks are faced and you can be part of incorporation of new methodologies and protections for our products.

Key Responsibilities:

Lead teams of hardware, software, and systems engineers to develop, integrate, and evaluate new cyber security technologies to be deployed within size, weight, and power-constrained mission-critical environments

Lead technical proposal preparation and the execution of government-sponsored science and technology research contracts

Contribute to strategic research roadmaps for the development and transition of new security technologies to embedded platforms as well as information services
Act as an expert technical advisor to engineering and business leadership in the area of cyber security

Qualifications

Must possess a STEM degree and be compliant with DoD 8570.01-M. Possess a strong understanding of operating system, computer architecture, and security fundamental, have at least five years of experience with the following:
JAFAN, DCID, RMF, NISPOM, NSA Type 1. As well as, Vulnerability Analysis Assessment experience
US Citizenship required.
Must possess a Secret clearance


____________________________________________________________________
Butler America Aerospace, LLC. is proud to provide an equal opportunity workplace and be an affirmative action employer. Consider Butler because we are committed to hiring and retaining a diverse workforce. We recognize that we thrive on diversity for the benefit of our employees, our customers, and the communities where we are located. Employment with Butler America Aerospace, LLC. is governed on the basis of qualifications and competence without regard to race, color, religion, gender, national origin, ethnicity, veteran status, disability status, age, sexual orientation, gender identity, gender expression, marital status, mental or physical disability or any other legally protected status.
 
Job Number: 55478
Job Location: Richardson, TX
Rate: Open
Per Diem: possible
Overtime: Yes
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Suzanne Schmidt
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Design & Analysis Engineer

Description:
**Must be a U.S. Person for purposes of export compliance. A U.S. Person is defined as either a Green Card Holder or U.S. Citizen.**

**Intermediate skills in electronic systems design and analysis in the aerospace industry is required.**

Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: 33523
Job Location: OK
Rate: open
Per Diem: split
Overtime: possible
Duration: 6 months
Start Date: asap
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Erica Price
Address: WESTRIDGE OFFICE PARK
2646 HIGHWAY 109 STE 207

City, State: WILDWOOD, MO 63040
Phone: 636/438-0312
800 Phone: 866/277-3343
Fax Phone: 636/220-8284
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Unigraphics Design Engineer

Description:
Sr. Design Engineer


Location: Colorado Springs, CO

Contract with potential to convert to permanent




Position Purpose/Objective

The Design Engineer is charged with creating robust designs of structural components, electro-mechanical mechanisms, upholstery, cushions, decorative panels, and assemblies of these components. These designs are created using 3D CAD modeling software; and verified through finite element analyses and testing. The individual contributor will provide engineering deliverables through all phases of development from concept generation through certification testing and initial production implementation. This includes identifying root cause to issues, analyzing alternatives and executing the optimal design solutions. In addition, the individual will support efforts for design release, compliance to requirements, and internal cross-functional activities. In certain cases providing technical direction to engineering resources at our Global Engineering Center - India can be expected.




Essential Responsibilities:

Successfully execute design and development tasks required to certify and release specialty aircraft seats

Execute designs utilizing Unigraphics NX CAD system and Team Center Product Lifecycle Management software

Work as part of a cohesive engineering team and Integrated Product Develop Team (IPDT)

Understand requirements and evaluate new product structural integrity and functionality in support of meeting those requirements

Facilitate necessary regulatory and customer requirement testing to ensure product safety

Interact with internal and external customers and ensures satisfactory deliverables

Assist Engineering Management to coordinate the timely delivery of product design and customer proposal requests

Conceptualize and prepare preliminary and final detail design and documentation of systems components and assemblies

Support testing including the design and release of test fixtures

Create conceptual designs for proposals and document designs in technical documents

Work as a liaison with multi-site technical teams in Peshtigo WI, India, and Poland

Adhere to all UTAS rules and codes of conduct

Perform other duties as required




Education Required:

BA/BS Aerospace or Mechanical Engineering degree with at least 5+ years’ experience

MA/MS Aerospace or Mechanical Engineering degree with at least 2+years’ experience




Experience Required: Indicate the minimum years of experience and type of experience required to effectively perform the essential functions and responsibilities of this position.

At least 5 years working as a design engineer, preferably in a manufacturing environment

Strong communication skills

Strong interpersonal skills and ability to work in a team environment

Strong analytic skills including Root Cause Analysis, Strength of Materials, Statics

Unigraphics/NX CAD experience




Preferred:

Experience presenting solutions to internal and external customers

Experience with manufacturing processes (machining, sheet metal, plastic injection molding, plastic thermoform. . . )

Experience with Plant Operations and Assembly

Experience with Finite Element Modeling and Analysis

Experience working with international engineering support

Knowledge of upholstery design and execution

Team Center and Team Center Multi Site PLM experience

Experience in aerospace requirements/design

Knowledge of FAA and aerospace specifications

International design collaboration experience







Travel:


10% of time traveling




____________________________________________________________________

Butler America Aerospace, LLC. is proud to provide an equal opportunity workplace and be an affirmative action employer. Consider Butler because we are committed to hiring and retaining a diverse workforce. We recognize that we thrive on diversity for the benefit of our employees, our customers, and the communities where we are located. Employment with Butler America Aerospace, LLC. is governed on the basis of qualifications and competence without regard to race, color, religion, gender, national origin, ethnicity, veteran status, disability status, age, sexual orientation, gender identity, gender expression, marital status, mental or physical disability or any other legally protected status.
 
Job Number: 55340
Job Location: Colorado Springs, CO
Rate: $33
Per Diem: Possible
Overtime: Possible
Duration: 6+ months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Laura Leszek
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Design Engineer / UG/NX electro-mechanical, mechanical, and pneumatic components and systems for flight actuation applications UT

Description:
5735 Design Engineer
Job code: Number of openings: 1

Position location: Salt Lake - UT
Employment type: Contract
OT exempt: No


Shift: 1st
Education Requirement: BS/BA
Driving on company time?: No
Minimum Years of Experience: 6 years
Maximum Hourly Pay Rate: 60
Start Date: 12/10/2018
Tentative End Date: 06/10/2019

**This position is a 6-12 month contract with potential of transitioning to full time direct employment.**


Space and Defense Group in Salt Lake City is looking for a Design Engineer to support our engineering team delivering highly complex electro-mechanical, mechanical, and pneumatic components and systems for flight actuation applications to our Defense Sector customers. A Design Engineer at Moog will contribute to design support of some of the most sought after missile systems in the defense industry.
Essential job functions:
• Perform conceptual design, analysis, assembly/detail drawing creation in NX, and test planning for complex control hardware used on military aircraft
• Validate conceptual problem solutions through trade studies and by applying sound engineering principles
• Perform engineering calculations related to sizing, performance, tolerance and assembly
• Participate in the evolution of conceptual design, technical project planning, detail design, and development testing throughout the program lifecycle
• Represent Moog in a manner consistent with its leading-edge technical reputation
• Create reliable designs through a comprehensive experimental development test program
• Document designs, analyses, and test results with accurate and concise reports
• Team-oriented, with the desire to participate in and contribute to a high functioning team
• Self-starter with strong analytical skills and a desire for constant improvement
Required skills:
• 6+ years of design and development engineering experience
• Proficient in current versions of UG/NX computer-aided design software, 2 years experience minimum
• Good sense of three-dimensional spatial orientation and knowledge of mechanical hardware design
• Proficient in assembly/detail drawings, GD&T, and dimensional tolerance analysis

Education:
• Bachelor’s degree in Mechanical or Aerospace Engineering or related technical field

Request status: Open
 
Job Number: MB-5735
Job Location: Salt Lake City, UT
Rate: 60/hr
Per Diem: no
Duration: 6-12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Design Engineer-conceptual design, analysis, assembly/detail drawing creation in NX 5735/AS

Description:
Recruiter to Contact: Adam Salazar
Job Title: Design Engineer
Location: Salt lake City, UT
Compensation: DOE
Assignment Length: 6 month contract

• 6+ years of design and development engineering experience
• Proficient in current versions of NX/UG computer-aided design software, 2 years’ experience minimum
• Good sense of three-dimensional spatial orientation and knowledge of mechanical hardware design
• Proficient in assembly/detail drawings, GD&T, and dimensional tolerance analysis

• Bachelor’s degree in Mechanical or Aerospace Engineering or related technical field

Salt Lake City location is looking for a Design Engineer to support our engineering team delivering highly complex electro-mechanical, mechanical, and pneumatic components and systems for flight actuation applications to our Defense Sector customers. A Design Engineer will contribute to design support of some of the most sought after missile systems in the defense industry.

Roles and Responsibilities
• Perform conceptual design, analysis, assembly/detail drawing creation in NX, and test planning for complex control hardware used on military aircraft
• Validate conceptual problem solutions through trade studies and by applying sound engineering principles
• Perform engineering calculations related to sizing, performance, tolerance and assembly
• Participate in the evolution of conceptual design, technical project planning, detail design, and development testing throughout the program lifecycle
• Represent Moog in a manner consistent with its leading-edge technical reputation
• Create reliable designs through a comprehensive experimental development test program
• Document designs, analyses, and test results with accurate and concise reports
• Team-oriented, with the desire to participate in and contribute to a high functioning team
• Self-starter with strong analytical skills and a desire for constant improvement
 
Job Number: 5735AS
Job Location: Salt Lake City, UT
Rate: 50-60/hr W2 DOE
Per Diem: N/A
Duration: 6-12 months CTH
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Adam Salazar
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Digital Design Engineer

Description:
Job Description:


  • Client is seeking an individual with specialized skills in digital design tools with a firm background in computer operating systems and processes necessary to develop Client and unique digital circuit blocks and apply advanced algorithms in next generation silicon processes.

  • Primary job functions will include system administration, installation, and maintenance of an advanced circuit design environment consisting of commercial- and government- developed electronic design software.

  • Other duties may include supporting analog and RF design, developing standard cell libraries, and testing fabricated chipsets.

  • The selected candidate will represent our Client and DoD customers in outside discussions and technical forums.

  • This position will require some travel and require a security clearance.




Required Skills & Experience


  • A BS Degree in Electrical/Electronic Engineering or Computer Science with five (5) years of experience is required.

  • The applicant must be familiar with the Red Hat enterprise operating system or comparable Linux distributions, installation, maintenance, port security, backup, and shell scripting.

  • Familiarity with installation and maintenance of the advanced Cadence Design Systems IC design flow, Synopsys, and Mentor Graphics tools is required.

  • The applicant must be capable of debugging and troubleshooting electronic design tool installation/maintenance and interaction with 3rd party tools and process design kits.

  • Experience in deriving verification test benches from detailed specifications is required.

  • Familiarity with at least one (1) commercial scripting programming language such as

  • PERL or Python is required.




Desired Skills and Experience

Additional experience in digital circuit design is desired, including: Verilog/VHDL behavioral modeling, Digital IC design for manufacturing (JTAG insertion, test vector generation, Built-In Self-Test), an understanding of physical design issues including layout, familiarity with memory compilers, Cadence Skill code development, and fundamentals of Process Design Kits (PDKs).



Special Requirements/ Certifications:

Must be US citizen and eligible for security clearance. The National Agency Check with Inquiries (NACI) is a background investigation primarily for federal employees who will not have access to classified information. DoD clearance is not required.

Opportunity for contract-to-hire based on worker performance, worker attendance and dependability and client business needs
 
Job Number: 18-12267
Job Location: Dayton, OH
Rate: 0.0USD/Hour
Per Diem: SPLIT
Overtime: Possible
Duration: 2018-08-10 00:00:00.0
Start Date: 2018-05-13 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Cody Grimes
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
ELECTRONICS DESIGN ENGINEER

Description:
JSG is seeking an Electronics Design Engineer for our Aerospace Client in Glendale, CA.
LOCAL CANDIDATES ONLY - Direct Hire

Work as part of a world-class, multi-disciplinary team to develop ruggedized electronic products incorporating embedded microprocessors, and transition them into production for aerospace, military, sub-sea, and other harsh-environment applications.

Job Description:

Work from Marketing Requirements to develop electronic hardware designs incorporating embedded microprocessors responsive to the requirements, including
Product design architecture
parts and vendor selection
detailed hardware design and schematic generation and capture in PADS
preliminary parts layout for fit checks
work with PCB layout design team and PCBA vendors
work with mechanical design team
develop firmware/scripts/applications to test hardware
Debug and troubleshoot new designs
Execute functional verification of electrical subsystems
Support manufacturing for all designs including microprocessors, including
Work with Test Engineering to develop appropriate acceptance test stations in production
Troubleshoot problems in production and at customer sites
Work with Product Marketing and Business Development to prepare responses to customer Requests for Proposals
Customer specification review
Preliminary design concepts
Estimate engineering effort to execute designs and firmware/software
Preliminary bill of materials and costing
Basic Qualifications

Bachelor’s degree in Electrical Engineering, Computer Science, related filed or equivalent experience
10+ years overall experience in hardware design
High level of expertise in embedded microprocessor design and programming (C/C++, etc.)
Experience with Ethernet product design (10/100/1000BASE-T interfaces, PHYs, unmanaged switches.) 10GBASE-T interface experience a plus.
Skilled in the design and use of common I/O protocols (I2C, USB, JTAG, Ethernet).
High level of experience with hands-on troubleshooting and turn-on of new circuitry, including test bench equipment such as multi meters, DAQs, spectrum analyzers, JTAG and ICE probes, software, oscilloscopes, etc.
Experience in qualifying embedded systems to MIL-STD-810 MIL-STD-461 or IEC equivalents
Experience in failure analysis and troubleshooting skills in a production and R&D environment
Experience with prototyping solutions and bench testing methodology
Experience with test automation with background in scripting
Excellent technical writing skills and experience writing hardware, software, and interface requirements along with qualification test plans and procedures.
Able to handle ITAR data. Must be US Person or have Green Card.

Preferred Qualifications

Experience with military systems with battery charging, embedded protocol transceivers, microprocessor and FPGA-based systems
Experience with Ethernet product design and testing (Ethernet switch ICs, fiber-optic media converters, etc.)
Experience with digital video systems testing
HD-SDI, 3G-SDI, HDMI, DVI, DisplayPort
 
Job Number: 368920
Job Location: Glendale, CA.
Per Diem: No
Overtime: possible
Duration: Direct
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
ETL/Hadoop Developer - TV

Description:
Qualifications:
• Undergraduate degree in MIS, Computer Science, or related field required
•3+ years’ experience in implementing large-scale software projects in a regulated environment
• Proven experience contributing to and managing the planning and execution of SDLC processes
• Strong foundational knowledge and experience with distributed systems
• Hands-on experience authoring SQL code
• Experience working with software development tools (e.g. Git, JIRA)
• Experience working with version control tools (e.g. SVN)
 
Job Number: TV
Job Location: Arden Hills, MN
Rate: Open
Duration: 6+
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: ENTEGEE ENGINEERING TECHNICAL GROUP
Attention: Terry Valliere
Address: 5620 INTERNATIONAL PKWY
City, State: NEW HOPE, MN 55428-3047
Phone: 763/577-9000
Fax Phone: 763/577-9010
Website: www.entegee.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer III - (Everett & Seattle)

Description:
Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEWA
Job Location: Seattle, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer IV & V - (OK)

Description:
Several openings in Oklahoma City, OK.

Active Secret Clearance, NOT required to start.

Leads work with customers to develop and document complex electronic and electrical system requirements. Leads and coordinates work to analyze and translate requirements into system architecture, hardware and software designs and interface specifications. Leads work to test and validate to ensure system designs meet operational and functional requirements. Oversees the team that monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches technology advances for potential application to company business needs. Makes recommendations for technology investments.

Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.
 
Job Number: EEOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Must Be Eligible for a Clearance

Proven and demonstrated experience with integration of electrical / electronic components and associated software/hardware into ground combat vehicles

Experience with network-based vehicle (combat or automotive) architectures

Experience trouble shooting Vetronics systems required

Experience with link-based (e.g., CAN) diagnostic systems required

- Experience with J1939 CAN protocol

- Experience with SIL and vehicle-based Vetronics testing

- Familiarity with TCP/IP and UDP protocol
 
Job Number: 45414
Job Location: MI
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Location: Grand Prairie, TX
Rate: DOE
Duration: 1 year contract
Shift: 1st Shift
Overtime: Possible
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****

Job Description:
- FPGA or ASIC design verification for safety crucial systems.
- Job responsibilities include analysis and review of requirements, test plans, verification implementation, and generating documentation associated with the qualification of safety critical hardware.


Skill/Experience/Education
Mandatory:
- Firm understanding of how to verify hardware design requirements.
- Hardware/Software Test - Derive test requirements, generate test plan, generate test procedures, conduct test, generate test report.
- Familiarity with nuclear regulatory or DO-254 processes
- Firm understanding and experience with verification process for safety critical systems.
- Familiarity with FPGA design verification using SystemVerilog.
- FPGA or ASIC Design Verification - Understanding of programmable logic using FPGAs.
- Ability to read and understand VHDL and SystemVerilog.
- Able to interpret requirements and validate verification implementation.
- Familiarity with managing subcontractors.


Desired:
- Firm understanding of SystemVeriflog and FPGA verification processes (constrained random testing, code coverage, clock domain crossing, etc.).
 
Job Number: 10043
Job Location: Grand Prairie, TX
Rate: DOE
Per Diem: Split Possible
Overtime: Possible
Duration: 1 yr
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer (Software Development) - (Renton)

Description:
Required qualifications:
• Electrical Engineering experience with an emphasis in software development
• Experience working with two or more of the following: web application development, mobile application development, object oriented design and analysis, networking, unit testing, systems administration, and/or developing large software systems
• Experience with hardware and software configuration management
• Familiarity with and the ability to program with Visual Basic and C#
• This position must meet Export Control compliance requirements, therefore a “US Person” as defined by 22 C.F.R. § 120.15 is required. “US Person” includes US Citizen, lawful permanent resident, refugee, or asylee.

Typical Education & Experience:
Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.


Preferred qualifications:
• Critical technical skills include proficiency in airplane digital signals and low voltage data acquisition
• Ability to create and update drawings with Auto Cad and/or Autodesk inventor
• Experience with digital data busses such as ARINC 429/717.
• Experience with aviation connector interfaces and packing such as ARINC 600.
• Knowledge of web design and maintenance
• Experience programming PLCs and HMIs
• Experience with National instruments Lab Windows CVI.
• Experience with equipment configuration control through Version Description Documents and/or Equipment Documents
• Experience using aviation interfaces such as synchros, resolvers, Linear Variable Displacement Transducers (LVDTs) and variable reluctance proximity sensors
Bachelor of Science degree in one of the following areas is highly preferred
Aeronautical Engineering
Aeronautics & Astronautics Engineering
Aeronautical Engineering Technology
Chemical Engineering
Civil Engineering
Aerospace Engineering
Electrical Engineering Technology
Manufacturing Engineering
Manufacturing Engineering Technology
Mechanical Engineering
Manufacturing Systems Engineering
Material Sciences and Engineering
Industrial & Systems Engineering
Mechanical Engineering Technology
Electrical Engineering
Metallurgical and Materials Engineering
Plastics Engineering
Plastics Engineering Technology
Structural Engineering

Bachelor's and 5 or more years' experience, Master's degree with 3 or more years' experience or PhD degree with experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EERenton
Job Location: Renton, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Janice Plattner
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineers

Description:
STS Technical Services is hiring Electrical Engineers in Greenville, South Carolina.

Note: Our staffing services come at no cost to you, the candidate. This is job seeking made simple! For more details, please contact our recruiters at 1-800-359-4787.

We are a premiere staffing agency providing high-paying contract and direct-hire employment opportunities. We’d love to partner with you to help you take your career to new heights. Check out the following information about this incredible employment opportunity we have right now with a great client of ours.

What You’ll Be Doing:
The ideal candidate will be responsible for providing electrical engineering support to integration and test of a CNS/ATM upgrade on a C-130H aircraft
This includes defining system requirements to verify hardware requirements, designing the Group A installation, supporting production and development test activities, and troubleshooting production and test failures

Qualifications:
Bachelor’s Degree in Electrical Engineering or equivalent design experience with at least 10 years of electrical design is required
C-130H Electrical Engineering experience is highly preferred
Integration and test experience is required
Must be experienced with CADDAM design software for electrical design is required
Ability to understand schematics, generate interconnect diagrams and troubleshoot hardware is required
Experience with aircraft architectures and electrical integration of avionics subsystems is required

Benefits:
STS Technical Services offers direct deposits / electric payroll, an awesome medical insurance program, cash advances, and the opportunity to refer a friend for compensation.

We know it takes great people to do great work, so if you’re interested in joining the STS Aviation Group family, we encourage you to apply on this page. Have questions? Give us a call at 1-800-359-4787.

Thanks for taking the time, and we look forward to hearing from you soon.
 
Job Number: 43383
Job Location: Greenville, SC
Duration: Contract
Input Date: 11/14/2020
Firm Name: STS AEROSTAFF SERVICES
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Systems Design & Analy. Engineers - Many Needed

Description:
Must be degreed & ability to obtain security clearance
Leads work with customers to develop and document complex electronic and electrical system requirements. Leads and coordinates work to analyze and translate requirements into system architecture, hardware and software designs and interface specifications. Leads work to test and validate to ensure system designs meet operational and functional requirements. Oversees the team that monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches technology advances for potential application to company business needs. Makes recommendations for technology investments.
Level 4 & 5

Excellent sign on bonus****

*Email resume to:mmccarthy@pdstech.com
 
Job Number: BO-OK - 33001-33002
Job Location: Oklahoma City, OK
Rate: $74.00-82.00/hour
Per Diem: Possible
Overtime: Possible
Duration: 6 Months+
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Mary Ann McCarthy
Address: 1215 FERN RIDGE PKWY STE 231
City, State: ST LOUIS, MO 63141
Phone: 314/628-9143
800 Phone: 800/472-3737
Fax Phone: 314/628-9485
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic Packaging Design Engineer

Description:
Mentor Expedition Printed Circuit Board (PCB) Designer-
The PCB Designer will create printed circuit board layouts from inputs received from engineering (electrical, mechanical, manufacturing, and test).
Job duties will include but are not limited to the following:

• Place and route components ensuring that all electrical and physical attributes meet the specific design requirements for each PCB design.
• Ensure that all requirements for manufacturing and assembly are met.
• Rework PCB designs when engineering design changes occur and assist the design team with ideas to resolve issues.
• Make schematic updates based upon changes from electrical engineers.

Qualifications:
• 5+ years of experience using Mentor Graphics Xpedition, Designer VX2.3 (schematic capture) and Layout VX2.3 (board layout), software to route complex multi-layer printed circuit board designs.
• An Associate’s Degree or certificate in Graphics Technology or Engineering Technology is desired.
Must be proficient with:
• Verifying design using Design Rule Check.
• Using Padstacks, Cell (footprint) and Part (PDB) definitions, and building intelligence into components.
• Utilizing Constraint Manager to define substrate and routing constraints.
• Establishing rule areas that define specific route rules for different portions of the board.
• Routing using both manual/interactive and automatic tools.
• Working with different via structures.
• Generating plane data.
 
Job Number: EPDE2018
Job Location: El Segundo, CA
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Shailendra Mishra
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic/Electrical Design & Analysis Engineer III - (Oklahoma City, OK)

Description:
Several openings in Oklahoma City.

Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Embedded Software Engineer

Description:
Active Secret Security clearance from the DOD is a must.

C++, Visual Studio, Web Services & Applications.

Extensive software design experience. Familiarity with configuration management techniques and tools. Understanding of software lifecycle. Experience with C# and .NET 4.0+.

Experience with Cyber security techniques would be a bonus.
 
Job Number: 45364
Job Location: FL
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Embedded Software Engineer (SM-3 2A) - Clearance AZ

Description:
Embedded Software Engineer (SM-3 2A) (8733940)

Applies technical expertise in the development of C++ software for embedded multi-processor systems. Develops complete software solutions, and influences the successful completion of supported programs and projects. Reviews software products for soundness of technical correctness and adequacy. Produces documentation related to the design and release of software artifacts. Understands and utilizes agile software development methods, automation, and continuous integration, particularly Scrum. Displays initiative and can work well alone or as a member of a team. Follows organizational / program/project processes and procedures. Interacts with senior software personnel through both written and verbal communication.


Required Skills/Experience:
• Minimum of 5 years of experience developing embedded software in C++;
• Experience and knowledge of Linux and Windows computing environments; • Experience and knowledge of Matlab;
• Hardware/software integrator for real time embedded software;
• Experience with all phases of software development including requirements analysis, implementation, unit test, integration and maintenance;
• Bachelor’s or Master’s Degree in Computer Science, Computer Engineering, Mathematics, Electrical Engineering, or a related field;

Desired Skill/Experience:
• Experience developing software using an Agile Software methodology with the Scrum Framework; working well in a dynamic team environment;
• Proficiency utilizing software debugging tools to troubleshoot software implementation and ensure integrity of the software products;
• Understanding of computer architecture and computer hardware optimization techniques;
• Optimization / performance of algorithms in embedded code on hardware and simulation;
• Working knowledge in the build automation tools Make and CMake on both Linux and Windows platforms;
• Working knowledge and experience with configuration management tools, in particular Synergy;
• Parallel programming principles and processes for technical computing environments;
• Experience with unit test frameworks (Google Test), computer in the loop, hardware in the loop and closed loop simulation;
• Excellent written and verbal communication skills;
• Ability to work in an integration lab environment working on software/hardware;
• Experience with Windriver Workbench and VxWorks 6.9.X;
• Experience with TI Code Composer Studio v5.X;
• Experience with Eclipse/CDT integrated development environment


Hours: 8:00am to 5:00pm
Location: Tucson AZ United States
Contractor Work Week 9/80
 
Job Number: MB-R8733940S
Job Location: Tucson, AZ
Rate: open
Per Diem: no
Duration: 10 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineering Manager, Systems Software (749)

Description:
**Must be US Citizen to apply for Secret cleared positions**



CSS Staffing is seeking an Engineering Manager, Systems Software, who has proven experience with a strong systems software development and programmatic background who has experience in managing systems, and software development teams – from project estimation to planning, executing, testing, software release management and QA - for development programs and production support activities across a diverse product portfolio in a matrix organization to achieve operational results. This role includes active management of the systems, software engineering functional group as well as management of cross-functional engineering activities on assigned programs.



Required Skills

*BSEE or related degree in software engineering or computer science

*Proven experience leading engineering teams with five (5) years minimum experience as a supervisor/manger.

*Minimum of Five (5) years’ experience with IA technologies and of their interactions to ensure system performance, including:

Mechanical structures, stiffness, inertia, weight trade-offs

System, motion and dynamics, expected pointing accuracy

Electric motors, performance, torque, construction

Position measurement with resolvers, Inductosyn, measuring tools

System performance calculation, simulation –consumption, vibration, temperature…-

Electronics hardware, noise, emissions

Control loops, reaction speed, performance assessment

Software for control, diagnostic, graphical user interface (Linux/RTOS/Python)

Positioner testing, tuning, qualification, field installation

EMI design, test, remediation

MIL/Rugged environment design, qualification test

*Experience with engineering and quality requirements for defense applications

*Ability to obtain and maintain a secret security clearance



Desired Skills

*Masters’ Degree in Engineering or Management

*Twelve (12) years minimum experience in new product development and engineering-to-production transitions

*8 years’ experience developing hardware requirements to meet higher-level system goals

*8 years’ experience with simulation software such as ModelSIM

*8 years’ experience with Altium Designer for schematic entry

*8 years’ experience with Altera’s Quartus Prime IDE

*8 years’ experience generating product cost estimates and writing proposals

*8 years’ experience with code development processes or of LCM and/or Stage-Gate methodology

*Active secret security clearance highly desired
 
Job Number: 749
Job Location: Exeter, NH
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Shay Rosen
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Safety Engineer MIL-STD 882 (117)

Description:
*This is a full time, 10 month contract, located in Grande Prairie, TX*



We are hiring for a contract early career Safety Engineer who will ensure products sold to customers are safe for use. The responsibilities will be to conduct preliminary, system hazard, subsystem, operating and functional Hazard and Fault Tree Analysis per MIL-STD-882.



Daily work may include developing system safety for environmental compliance, prohibited materials, hazardous materials management, pollution prevention, risk assessment, hazard tracking database Flow downs to suppliers, audits, recommend safety features and controls for system design, software, training and procedures to mitigate or eliminate hazards.



Required
*2-3 years’ experience in Manufacturing Safety in an aerospace or defense subcontracting capacity; experience with MIL-STD 882.
*US Citizens only, will apply and gain a clearance upon starting.
*Experience conducting System Safety designing, audits, testing and verification.
*Candidate must be willing to work overtime and travel as needed.

*Engineering Degree



Pluses:

*Active Secret Clearance
*Vehicle or weapons familiarity.
*Experience implementing a software safety program in accordance with AR 385-17 or equivalent.
*Ability to manage subcontractors in Safety field of expertise.

*Experience in preparing software system safety documentation and presenting safety case to the SSSTRP or equivalent safety boards
*Knowledge of Human Factors, or Reliability and Maintainability engineering; Hazmat knowledge
*Experience supporting Government conducted tests and providing Safety analysis of test incidents.
 
Job Number: 117
Job Location: Grande Prairie, TX
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Equipment & Tool Engineer

Description:
Equipment & Tool Engineer 4
 
Location: Everett, WA
Duration: 6 months
 
Job Description:        
Develops program and project requirements, develops highly complex equipment and tooling concepts and designs solutions, including alternatives for the Production System. Validates analysis of technical data, influences product engineering design and makes robotics, automation or technical recommendations to support production system integration for a aerospace products. Leads the creation and modification of equipment and tooling definition at the program level. Executes project plans, provides input to Make/Buy decisions and partners with Procurement to meet program schedule, cost and quality targets. Ensures compliance with safety, producibility, maintainability, reliability, ergonomic factors and regulatory requirements. Leads gated reviews and develops plans for production readiness, preventative maintenance and spares. Validates contractual performance milestones. Develops and implements work-arounds and maintains configuration of hardware, software and documentation. Conducts studies and make recommendation for disposition of assets. Must have some PLC Programming and Siemens Platform knowledge.
 
Education / Experience:
Degree and typical experience in engineering classification: Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.

Skill Code:
66U-Designer Engineer
 
Job Number: 18-18490
Job Location: Everett, WA
Per Diem: split
Overtime: possible
Duration: 6 months
Start Date: 11/12/18
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Constance Whitcomb
Address: 859 STEPHENSON HWY
City, State: TROY, MI 48083
Phone: 843/955-9221
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Equipment & Tool Engineer 3

Description:
Equipment & Tool Engineer 3

Location: Renton, WA
Duration: 6 months

Description:
Required qualifications:
- Electrical Engineering experience with an emphasis in software development
- Experience working with two or more of the following: web application development, mobile application development, object-oriented design and analysis, networking, unit testing, systems administration, and/or developing large software systems
- Experience with hardware and software configuration management
- Familiarity with and the ability to program with Visual Basic and C#

Typical Education & Experience:
Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctor of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.

Preferred qualifications:
- Critical technical skills include proficiency in airplane digital signals and low voltage data acquisition
- Ability to create and update drawings with Auto Cad and/or Autodesk inventor
- Experience with digital data busses such as ARINC 429/717.
- Experience with aviation connector interfaces and packing such as ARINC 600.
- Knowledge of web design and maintenance
- Experience programming PLCs and HMIs
- Experience with National instruments Lab Windows CVI.
- Experience with equipment configuration control through Version Description Documents and/or Equipment Documents
- Experience using aviation interfaces such as synchros, resolvers, Linear Variable Displacement Transducers (LVDTs) and variable reluctance proximity sensors
Bachelor of Science degree in one of the following areas is highly preferred
Aeronautical Engineering
Aeronautics & Astronautics Engineering
Aeronautical Engineering Technology
Chemical Engineering
Civil Engineering
Aerospace Engineering
Electrical Engineering Technology
Manufacturing Engineering
Manufacturing Engineering Technology
Mechanical Engineering
Manufacturing Systems Engineering
Material Sciences and Engineering
Industrial & Systems Engineering
Mechanical Engineering Technology
Electrical Engineering
Metallurgical and Materials Engineering
Plastics Engineering
Plastics Engineering Technology
Structural Engineering

Skill Code:
66U-Designer Engineer.

Please share updated resume at ravi@iconma.com if interested.
 
Job Number: 18-17824
Job Location: Renton,, WA
Per Diem: Available
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Ravi Kumar
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/234-6123
800 Phone: 866/914-9724
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Equipment & Tool Engineer 4

Description:
Equipment & Tool Engineer 4
 
Location: Everett, WA
Duration: 6 months
 
Job Description:        
Develops program and project requirements, develops highly complex equipment and tooling concepts and designs solutions, including alternatives for the Production System. Validates analysis of technical data, influences product engineering design and makes robotics, automation or technical recommendations to support production system integration for a aerospace products. Leads the creation and modification of equipment and tooling definition at the program level. Executes project plans, provides input to Make/Buy decisions and partners with Procurement to meet program schedule, cost and quality targets. Ensures compliance with safety, producibility, maintainability, reliability, ergonomic factors and regulatory requirements. Leads gated reviews and develops plans for production readiness, preventative maintenance and spares. Validates contractual performance milestones. Develops and implements work-arounds and maintains configuration of hardware, software and documentation. Conducts studies and make recommendation for disposition of assets. Must have some PLC Programming and Siemens Platform knowledge.
 
Education / Experience:
Degree and typical experience in engineering classification: Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.

Skill Code:
66U-Designer Engineer

Please share updated resume at ravi@iconma.com if interested.
 
Job Number: 18-18490
Job Location: Everett,, WA
Per Diem: Available
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Ravi Kumar
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/234-6123
800 Phone: 866/914-9724
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Flight Control System Engineer

Description:
Role Description:



We are searching for a talented person to fill a Flight Control Engineer position located here in Moses Lake, WA. The strategic purpose of the Flight Sciences Group, and the Engineering Services Unit, is to provide engineering consulting services related to aircraft performance.



Job Duties and Responsibilities:

Review and support Certification activities.
Certification Plans.
Component Qualification Test Plans.
Similarity Analysis Reports.
Safety and Particular Risk Analysis.
Design Reviews.
Support flight testing activities.
Test Specification Definition.
Test planning and preparation activities.
Pre and Post Flight Briefing Support.
Live monitoring of flight data, typically via telemetry, exceptionally on aircraft.
Post flight data processing, analysis, and drawing conclusions.
Troubleshooting of aircraft snags, root cause determination and appropriate engineering disposition for way forward.
Appropriate disposition of non-conformances, quality escapes etc.
Safety of Flight determination.
Documentation of design problems for long term fix.
Release of engineering documentation for component changes and new installations, ensuring appropriate configuration control.
Generation and review of appropriate limitations documentation.
Flight Test Report Writing.




Requirements
Knowledge/Skills/Abilities

Intermediate knowledge of flight test operations and flight certification.
Professional mannerisms, appearance and actions (self-confident and committed to high ethics).
Strong organizational, time management and prioritization skills:
Able to multi-task, maintain focus on several different projects at one time and hit deadlines.
Able to be flexible with attention and priority.
Able to work in a progressive, fast-paced environment (work well under pressure).
Strong analytical and troubleshooting skills as well as decision-making skills.
Strong interpersonal skills, with the ability to build strong relationships at all levels. Ability to influence others as well as relate to individuals at all levels of the organization.
Strong communication skills (oral, written, presentation) with both external and internal customers:
Act as an active listener, seeking to understand and then to be understood, articulating clearly and confidently.
Uses people relationship and business management skills to make decisions on what and when to communicate with employees.
Able to publicly represent the organization to people who inquire about our company, our mission and our products (straight-forward, believable).
Strong project management skills, including the ability to take ownership for accomplishing assigned tasks. Results-oriented planner and delegator who ensures that goals are met. Able to set priorities and keep to projected schedules.
Computer Skills: Comfortable and effective working in Microsoft Office. Proficiency with Microsoft Project and/or other project planning software. Able to quickly learn new software and systems.
Software programming
Education

Four (4) year degree in Engineering (Electrical, Aerospace, Instrumentation, Avionics).
Experience

3-8 years relevant aerospace engineering experience
 
Job Location: Moses Lake, WA
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Claderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Flight Test Instrumentation Engineer

Description:
Flight Test Instrumentation Engineer

Location: Philadelphia, PA

Contract duration: 6+ Months contract.

Job Description: Performing helicopter instrumentation system design, analysis, installation, maintenance, and troubleshooting including software design for rotorcraft experimental flight testing. Practical knowledge and experience with flight test instrumentation hardware/software design, maintenance, operation, and troubleshooting. Strong analytical and design skills. including knowledge of developmental and certification flight test requirements and data analysis. Proven work efficiently across a diverse and complex organization. Good communication and presentation skills.

Duties and Responsibilities:

Design, plan, build and test instrumentation systems and installations on AW609 flight test projects to support type certification requirements.
Maintain configuration files related to calibrations, sensor installations, filtering, etc., to establish reliability and data control for test parameters.
Develop and program the Data Acquisition System made by ACRA Control
Support flight test activities to include on-board calibrations, safe installation practices, troubleshooting and system operational support.
Work with flight technology groups to help solve complex engineering issues through the use of flight test instrumentation.
Support the training and mentoring of other flight test instrumentation technicians to use and establish best practices and support the development of current and future data acquisition systems.
Research, test and develop innovative improvements in current and future flight test instrumentation data acquisition systems.
Continually work to recommend improvements that would affect reliability, cost and schedule of flight test systems.
Job Skills:

Minimum 3 years of experience in flight test instrumentation systems
Proficient in the use of ACRA PCM data acquisition hardware and software or other similar data acquisition system
Proficient in the use of IADS display system (from Symvionics) for on-board real time monitoring of data.
Experience in ARINC and MILBUS digital data acquisition
Experience using zodiac systems HEIM data recorders
Experience with all flight test instrumentation sensors including strain gauges, pressure transducers, temperature sensors, air data booms, accelerometers etc.
Proficient in all Windows based applications.
Working knowledge of traditional PCM methods, frame map construction, and IRIG-106 methods and standards




____________________________________________________________________

Butler America Aerospace, LLC. is proud to provide an equal opportunity workplace and be an affirmative action employer. Consider Butler because we are committed to hiring and retaining a diverse workforce. We recognize that we thrive on diversity for the benefit of our employees, our customers, and the communities where we are located. Employment with Butler America Aerospace, LLC. is governed on the basis of qualifications and competence without regard to race, color, religion, gender, national origin, ethnicity, veteran status, disability status, age, sexual orientation, gender identity, gender expression, marital status, mental or physical disability or any other legally protected status.
 
Job Number: 55388
Job Location: Philadelphia, PA
Per Diem: Possible
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: David Surreira
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Full Time Mid-Level Design Engineer - Composites

Description:
Design Engineer Composites
Location: West Lafayette, IN
Direct, full time position


Job Description:

Seeking Design Engineers to perform mechanical design and drafting tasks including composite part design. Qualifications include:
•Experience with surfacing, solid modeling, and drafting
•CAD Software: CATIA V5
•Primary / Secondary Structural Design experience including sheet metal, machined and composite parts
•Experience using Composites workbench (CPD) for CATIA V5
•Composite Tooling (molds, trim tools) design preferred
•Experience with GD&T preferred
•Aerospace experience preferred




Min years of experience: preferred 3 yrs minimum including experience with composites design

Degree Requirements: BS in Engineering or Engineering Technology




____________________________________________________________________

Butler America Aerospace, LLC. is proud to provide an equal opportunity workplace and be an affirmative action employer. Consider Butler because we are committed to hiring and retaining a diverse workforce. We recognize that we thrive on diversity for the benefit of our employees, our customers, and the communities where we are located. Employment with Butler America Aerospace, LLC. is governed on the basis of qualifications and competence without regard to race, color, religion, gender, national origin, ethnicity, veteran status, disability status, age, sexual orientation, gender identity, gender expression, marital status, mental or physical disability or any other legally protected status.
 
Job Number: 55310
Job Location: IN, AL, CT
Rate: Negotiable
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Laura Leszek
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Hardware Design Engineer

Description:
Hardware Design Engineering:


 

* Participate in the development and specification of new product HW/SW platform architectures;

* Develop detailed design specifications;

* Lead and participate in design reviews;

* Develop schematics for and specify printed circuit board layouts for new designs;

* Balance high quality, low-cost, and fast time-to-market during the development of products;

* Be an expert in the use of HW tools: Oscilloscopes, DVMs, signal generators/analyzers, logic analyzers, spectrum analyzers, and LabView for development/debug;

* Good debug skills for both HW/SW;

* Develop schematics using DX Designer;

* Familiar with PCB design tools, such as PADs.

* Simulate, breadboard, prototype HW/SW designs;

* Bring-up new designs, perform signal integrity analysis, Hyperlynx;

* Specify and perform design verification tests;

* Thoroughly document designs;

* Design to insure platform re-use, testability, and manufacturability;

* Obtain product approvals (safety & EMC);

* Work with Software engineering to Develop software for diagnostic/board bring-up/DVT tests; and

* Perform root-cause analysis on failed product.

 



 

Education:

BSEE and coursework in analog and digital design required.

 

Requirements

 

* 7+ years in electronic design and development;

* At least five years of hands-on, board-level, hardware design;

* At least some experience developing low-level debug software;

* Experience with Safety Integrity Level (SIL) design a plus; and

 

 

Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.

 
 
Job Number: 18-50682
Job Location: Swampscott, MA
Rate: 60.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2020-06-22 00:00:00.0
Start Date: 2018-12-11 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Hong Lu
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Industrial Engineer CA

Description:
163564 Industrial Engineer 3/4 (Job Number: 18024363)
Work Site Address : El Segundo CA
Job Number: 1702
Max Pay Rate: 46.00

Start Date: ASAP
End Date: 12 Months
Position Type: Contract

Responsibilities:

1. Helps determine the most efficient sequence of operations and work flow and provides finite scheduling to manufacturing cost centers and IPTs
2. Maintains datasets and generates metrics that provide for the measurement of program & functional schedule & cost performance.
3. Develops and deploys plans that ensure the timely availability and effective utilization of labor, facilities, equipment, & tooling resources enabling the achievement of program delivery and cost milestones.
4. Develops and summarizes analyses for the acquisition, maintenance & enhancement of capital assets and miscellaneous equipment. Plans plant, office, and production facilities and equipment layouts
5. Provides capacity planning and rate tool analyses
6. Conducts studies pertaining to cost control, cost reduction and inventory control
7. Can run simulation based scenarios using software
8. Help develop new program start up requirements & modeling capability

Qualifications and Education Requirements
Bachelor of Science Degree (STEM) with 4 or more years related experience;
or Master’s Degree with 2 or more years related experience

Preferred Skills
Military Aircraft Operations Experience or Space Product Knowledge
Documented experience working in a robust IPT environment
At least 1 year of demonstrated experience participating and leading project teams
Strong expertise using the full suite of Microsoft Office Tools
 
Job Number: MB-1702ATO
Job Location: El Segundo, CA
Rate: 46/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Information System Security Officer (ISSO)

Description:
Location: Jupiter, FL
Rate: $65.00 - $72.00/HR
Duration: 6 month contract
Per Diem Split: Yes (if eligible)


** Candidates must be U.S. Citizens **
** Permanent residents are NOT eligible for this role **
** Dual citizens are NOT eligible for this role. Also, candidates with a current non-U.S. passport in addition to a U.S. passport are NOT acceptable **


**MUST have an active SECRET (or higher) DoD Security Clearance or to have had one within the last 2 years**
** MUST have a current DoD 8570 Baseline Certification (Security+ CE, CAP, GSLC, CASP CE, CISA, CISM, or CISSP) is required **


Duties:
-The ISSO is responsible for ensuring the appropriate operational security posture is maintained for an information system (IS) and as such, works in close collaboration with the Information System Security Manager (ISSM).
-The ISSO shall have the detailed knowledge and expertise required to manage the security aspects of an IS and is assigned responsibility for the day-to-day security operations of a system.
-Responsibilities also include physical and environmental protection, personnel security, incident handling, and security training and awareness.
-In close coordination with the ISSM, the ISSO plays an active role in monitoring a system and its environment of operation to include developing and updating the System Security Plan (SSP), managing and controlling changes to the system, and assessing the security impact of those changes.

Responsibilities of the ISSO include, but are not limited to:
a. Ensure systems are operated, maintained, and disposed of in accordance with security policies and procedures as outlined in the security authorization package;
b. Attend technical and security training (e.g., operating system, networking, security management) relative to assigned duties;
c. Ensure all users have the requisite security clearances, authorization, need-to-know, and are aware of their security responsibilities before granting access to the IS;
d. Report all security-related incidents to the ISSM;
e. Conduct periodic reviews of ISs to ensure compliance with the security authorization package;
f. Serve as member of the CCB, if designated by the ISSM;
g. Coordinate any changes or modifications to hardware, software, or firmware of a system with the ISSM prior to the change;
h. Formally notify the ISSM when changes occur that might affect system authorization;
i. Monitor system recovery processes to ensure security features and procedures are properly restored and functioning correctly;
j. Ensure all IS security-related documentation is current and accessible to properly authorized individuals;
k. Ensure audit records are collected and reviewed; and
l. ISSO shall be adequately trained and possesses technical competence commensurate with the complexity of the ISs.

Skills:
Minimum Qualifications:
- Current SECRET (or higher) DoD Security Clearance
- Current DoD 8570 Baseline Certification (Security+ CE, CAP, GSLC, CASP CE, CISA, CISM, or CISSP)
- Experience with Microsoft Windows and/or Linux system administration (2+ years)
- Familiarity with configuration control and change management (SCCM)
- Experience with networking concepts (switches, network security, TACLANE)
- Experience with security concepts (Data Loss Prevention, Active Directory, and Security Log Analysis)
- Active and continuous learner - either higher education or Continuing Professional Education

Required Competencies:
- Strong written and verbal communication skills; ability to present reports to management; motivated to thoroughly investigate, analyze, and document system issues and resolutions
- Ability to produce quality deliverables and to complete assigned projects on time; provides consistent status updates to ensure IT security projects stay focused
- Consistent attention to detail - completes tasks per standard operating procedures; reports discovered anomalies and inconsistencies
- Persistent and creative problem solver - strong troubleshooting skills and determined to find solutions to technical problems; identifies root cause and presents possible solutions to management
- Strong work ethic and a proven professional - respectful, dependable, takes initiative and follows through, dedicated to excellence and getting the job done

Preferred Competencies:
- Operating System and networking certifications
- Knowledge of and experience with compliance to government regulations and standards (NIST Special Publications, NISPOM, Risk Management Framework, etc.)
- Direct experience with a Department of Defense (DoD) or Defense Industrial Base (DIB) company supporting critical information systems

Additional Details:
The ideal candidate for this position must be able to:
- Report to work on time
- Perform job duties for a specific duration of time or within a given period of time
- Follow directions based on government requirements and company policies
- Interact well with co-workers and customers
- Accept constructive criticism
- Maintain regular/consistent attendance and a full-time schedule
- Maintain current status on projects
- Stay current on training requirements
- Solve issues and incidents in the field and be willing to work after hours as needed to complete an urgent and important task
- Effective service depends upon the contractors performing duties to the best of their abilities; frequent absences will disrupt business operations and create additional work for coworkers; prompt and regular attendance is critical to the success of the company's mission.

Education:
- Undergraduate degree in Information Technology or Cyber Security, but candidate with proven experience will not be excluded from consideration
- Current DoD 8570 Baseline Certification (Security+ CE, CAP, GSLC, CASP CE, CISA, CISM, or CISSP)
 
Job Number: 10075
Job Location: Jupiter, FL
Rate: $65.00 - $72.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 6 mos
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Integration & Test Engineer - Level 2

Description:
Location: Oldsmar, FL
Rate: $35.00/HR
Duration: 1 year contract
Shift: 1st Shift
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****

Job Description:
- Provide test engineering support to production program integrated product team (IPT’s).
- Define test process plans identifying the sequence of required test steps.
- Define required tools, instruments, fixtures, software, hardware, interfaces, test chambers, etc. required to execute the test process plan.
- Define optimal product flow and develop the appropriate test work cell layout.
- Develop tests and generate detailed test procedures including narrative, diagrams and photographs.
- Generate detailed troubleshooting guides to aid in the troubleshooting and analysis of the root cause of test failures.
- Analyze test defect data and trends helping to define and implement corrective actions.
- Support the change management activities of the production program IPT.
- Provide day-to-day test engineering support to the work cell by resolving technician questions, investigating and solving test and/or product performance problems, and improving test procedures, tooling, fixturing, hardware, software, etc. in an effort to optimize the test process.

Skill/Experience/Education
Mandatory: MUST BE SHOWN ON RESUME
- Test Engineer with 4+ years of experience developing and maintaining Keysight 3070 in circuit tests.
- Ability to effectively communicate, cooperate, and contribute in an Integrated Product Team (IPT) environment.
- Strong interpersonal skills and the ability to work with other persons from diverse backgrounds.
- Demonstrated ability to effectively communicate through written and oral means.
- Strong and broad knowledge of industry developments and trends.
- Experienced user of Microsoft Office software applications including MS Word, MS Project, MS Excel and MS Power Point.

Desired
- BSEE
 
Job Number: 10159
Job Location: Oldsmar, FL
Rate: $35.00/HR
Per Diem: Split Possible
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Integration & Test Engineer Level 2

Description:
seeking an Integration & Test Engineer Level 2 for an opening in Oldsmar, FL.

RESPONSIBILITIES:
Provide test engineering support to production program integrated product team (IPT's). Define test process plans identifying the sequence of required test steps. Define required tools, instruments, fixtures, software, hardware, interfaces, test chambers, etc. required to execute the test process plan. Define optimal product flow and develop the appropriate test workcell layout. Develop tests and generate detailed test procedures including narrative, diagrams and photographs. Generate detailed troubleshooting guides to aid in the troubleshooting and analysis of the root cause of test failures. Analyze test defect data and trends helping to define and implement corrective actions. Support the change management activities of the production program IPT. Provide day-to-day test engineering support to the workcell by resolving technician questions, investigating and solving test and/or product performance problems, and improving test procedures, tooling, fixturing, hardware, software, etc. in an effort to optimize the test process.

REQUIRED EXPERIENCE:
Test Engineer with experience developing and maintaining Keysight 3070 in circuit tests. Ability to effectively communicate, cooperate, and contribute in an Integrated Product Team (IPT) environment. Strong interpersonal skills and the ability to work with other persons from diverse backgrounds. Demonstrated ability to effectively communicate through written and oral means. Strong and broad knowledge of industry developments and trends. Experienced user of Microsoft Office software applications including MS Word, MS Project, MS Excel and MS Power Point.

EDUCATION:
Accredited High School Diploma/GED. BSEE desired.

WORK HOURS:
9/80, 1st Shift
Full-Time

Candidates responding to this posting must currently possess the eligibility to work in the United States. No third parties please.

Chipton-Ross provides equal employment opportunities to all employees and applicants for employment without regard to race, color, creed, religion, national origin, sex (including pregnancy), age, disability, sexual orientation, gender identity and/or expression, protected veteran status, genetic information, or any other characteristic protected by Federal, State or local law. This policy governs all areas of employment at Chipton-Ross, including recruiting, hiring, training, assignment, promotions, compensation, benefits, discipline, and terminations.



© 2018 Chipton‑Ross Inc. All rights reserved
 
Job Number: 175220
Job Location: oldsmar, FL
Rate: 0-35.00/HR DOE
Duration: 12 Months
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Patrick Costello
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
International Trade Compliance Site Support

Description:
International Trade Compliance Site Support

Location: Fort Worth, TX
Pay Rate: $48.27/hr
Duration: 12 month W2 contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**
**NO RELOCATION COMPENSATION**

Position Responsibilities:
•Provide guidance to site functional teams regarding visitor management, technology marking, technology transmission methods, reporting and other administrative requirements for export compliance.
•Administration of the visitor management system to include, visitor approvals, adherence to visitor policies and procedures, follow-up on required host training, and screening of visitors as needed.
•Assist site in compliant transfers of technical data by assigning jurisdiction & classification and providing guidance on export markings and approved transfer methods
•Support site logistics by creating Automated Export System (AES) Filings and Delivery without reference documents for hardware in SAP
•Coordinate site input to support C360 Management Reports

*Education / Certifications
Bachelor Degree required
*Experience / Qualifications
Required:
•1-3 years of experience in US Export and Customs laws and regulations
•Knowledge of ITAR (Intl Traffic and Arms Regulations)
•Knowledge of Export Administration Regulations (EAR)
•Proficient in Microsoft Office, Word, Excel, and PowerPoint

Preferred:
•Licensed Customs Broker
•Experience in the Technology/Software related to the Aerospace industry, a plus.
 
Job Number: 9985
Job Location: Fort Worth, TX
Rate: 48.27
Per Diem: No
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Lead Software Test Engineer

Description:
Role Summary/Purpose:



Join a dynamic and motivated software team focused on developing mobile digital products. Responsibilities include designing and implementing software solutions for viewing, storing, and transmitting patient physiological data in the Connectivity Solutions segment. This position will support new feature development focusing on the visual elements of the application, as well as, back end service development. The qualified candidate will have experience with real-time and non-real time software.


Essential Responsibilities " Design and implement software for mobile digital products

" Work across the entire software development lifecycle including high-level detailed design, software implementation, verification (automated and manual), and maintenance activities


" Author software requirements and design specifications


" Full stack development of front end features and back end service updates.

" Develop real-time and non-real-time software

" Develop and execute unit, integration, and system tests to verify the design and implementation

" Apply technical expertise and oversight to ensure quality of design and implementation including compliance to Quality Management System

" Collaborate with project team members using the Agile Scrum methodology

" Mentor other engineers on the team to develop high quality software (includes the use of static analysis tools, design reviews, code reviews)

" Provide technical decision-making and guidance for the scrum team

" Provide technical leadership, driving and performing engineering best practices to initiate, plan, and execute large-scale, cross-functional, and company-wide critical programs.

" Support the team's effort in continuous improvement



Qualifications/Requirements " Bachelor's Degree in Electrical Engineering, Computer Engineering, Computer Science or related computer degree

" Minimum of 5 years of experience in object-oriented design, implementation, and verification

" Minimum of 3 years programming in Java

" Minimum of 2 years designing web frontend applications or web technology-based mobile applications such as JavaScript, Typescript, HTML5 and CSS.

" Minimum of 2 years using advanced JavaScript frameworks such as Angular, AngularJS, React, Backbone and design patterns such as Flux/Redux.

" Minimum of 1 years of experience with test and behavior driven testing frameworks and methodologies

" Minimum of 1 years' experience working with Agile methodologies

" Strong knowledge of software development tools (IDE, Version Control, etc.)

" Strong knowledge of software development life cycle and development environments

" Excellent written and verbal communication skills for presenting, discussing, and documenting technical information

" You must be willing to work in Milwaukee, WI facility full-time

" You must be willing to take a drug test as part of the selection process

" You must be willing to submit to a background investigation, including for example, verification of your past employment, criminal history, and educational background

" You must be legally authorized to work in the United States full-time

" You must be 18 years of age or older

Desired Characteristics " Master's Degree in Electrical Engineering, Computer Engineering, Computer Science, or related computer field

" 3+ years of experience working in the regulated medical device industry

" Automated design verification experience


" Experience with service-oriented architectures and microservices.


" Experience with Docker and Docker containers

" Experience using Spring Boot

" Experience with implementing RESTful web services.

" Experience leading design reviews for large system software designs

" Experience with hybrid-native technologies such as Electron (aka Atom Shell) or Ionic

" Experience with multi-threaded, concurrent systems

" Demonstrated effective problem solving capabilities

" Demonstrated ability to work effectively within and across various teams

" Ability to develop in a Linux-based environment.

" Ability to influence cross functional decision makers

" Strategic planner and thinker with ability to drive change

" Self-starter, energizing, results-oriented, and able to multi-task; tenacious and organized

" Adaptable/Flexible: being open to change in response to new information, different or unexpected circumstances, and having the ability to navigate ambiguous situations

" Clear thinking: simplifying strategy into specific actions with clear accountability, making decisions with speed and accuracy based on best available information, and communicating priorities clearly and concisely







Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.


 
Job Number: 18-42240
Job Location: Milwaukee, WI
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2019-03-05 00:00:00.0
Start Date: 2018-11-05 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Sam Boettcher
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Lead Stress Engineer (379)

Description:
*This is a 1 year contract position located in Minneapolis*



We are seeking a contract aircraft or space vehicle stress / structural analyst who will be working on aircraft and or missiles applying knowledge to dynamics and stress upon composite materials, metals, electrical components, as related to vehicle flight.

Candidate will be modelling, testing, analyzing, and modifying aircraft and integrating modified components into airborne vehicles. Work will include geometry data collection, FEA/FEM model development for various loads. May be asked to analyze and write documentation using military specifications, internal structural manuals, developing working models, etc.



Required:
*10 years’ experience in stress/structural analysis of aircraft using FEM (Nastran or Abacus).
*5 years of leadership of a team and responsibility of team results to management
*Ability to develop physical using FEA/FEM and software such as Matlab Ability to analyze thermal- and fluids-related data gathered during field tests using a range of toolsets (Matlab, Python, R, Excel) *Familiar with MS Project
*Decomposing technical requirements into product specifications
*Current Active Secret Clearance preferred; Requires applicants to be US Citizens

*4 year engineering degree in ME, aerospace or equivalent



Pluses:
*Knowledge and analysis of weapons (missile) systems; knowledge of failure modes
*Experience with Abacus Explicit (transient modelling)
*ANSYS skills
*Skilled in importing geometries from CREO CAD models into FEA/FEM software
*Familiar with stresses related to allowables; fluid/structures interactions
*IPT experience

ence
 
Job Number: 379
Job Location: Minneapolis, MN
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Logistics Management Analyst TX

Description:
163590
1267-1 Logistics Management Analyst
Work Location: Fort Worth, TX
Status: Open

Qty: 1
Desired Start Date: 12/4/2018
End Date: 11/26/2019

Job Description:
Controls the efficient flow of goods, services, and information between points of origin through customer placement in order to meet customer requirements. Ensures that customer service and time objectives are achieved within existing financial constraints in order to meet marketing and financial objectives. Ensures the execution and continuous improvement of standard logistics processes, such as the replenishment system, data interchange systems, demand management, electronic data systems administration and related functions. Builds relationships with strategic customers through logistics initiatives. Integrates learning from customers, competitors, operating entities, distribution, transportation, customer service, other industries, industry groups, and professional training to continuously improve competitive position.

Entry level position within the Import Control Group responsible for coordinating logistics and execution of instructions for US Customs clearance of international shipments.

Will accept experience in lieu of degree.
******Must Have Custom Import brokerage/freight forwarding experience including Tariff exp*******

Strong business software skills (e.g. Microsoft Office databases, spreadsheets and website applications)

Desired:
Experience with SAP/GTS systems

Region: Fort Worth, TX
Level: Level 1: BS+0-3 YRS
 
Job Number: JE-1267-1
Job Location: Fort Worth, TX
Rate: 32/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer

Description:
Preferred Experience in a Manufacturing or Mechanical Environment. Should have good communication and customer satisfaction skills. Required Experience with ERP or Manufacturing Planning Software and Microsoft Office Software. Preferred Manufacturing Planning experience.
 
Job Number: 18-140305
Job Location: GA
Per Diem: Split
Overtime: Possible
Duration: Year
Start Date: Two Weeks
Input Date: 11/14/2020
Firm Name: AGENTE TECHNICAL
Attention: Alexa Bui
Address: 714 CENTERPARK DR STE 140
City, State: COLLEYVILLE, TX 76034-2852
Phone: 972/415-4545
800 Phone: 866/758-2494
Fax Phone: 866/717-5927
Website: www.agentetech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer

Description:
Manufacturing Engineer has experience in a Manufacturing or Mechanical Environment. Should have good communication and customer satisfaction skills. Preferred Experience with ERP or Manufacturing Planning Software and Microsoft Office Software.

Manufacturing Engineer Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.



Manufacturing Engineer performs technical studies of a complex nature, conduct analysis of operations (existing or proposed). Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components). 2. Author detailed work instructions that optimize manufacturing process efficiency and opportunity for zero defects with functional Operations Engineer. 14. Author DRDI/DMT rework instructions to support effective corrective actions. 15. Author MBOM in SAP/Solumina/Corridor (as applicable) to ensure all parts defined by Engineering are installed during the assembly/installation process. 16. Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems. 3. Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements. 4. Investigate and assist in preparing justification for equipment and facility requests. 5. Perform producibility studies from engineering drawings to identify opportunities to enhance manufacturing ability to meet requirements. Participate in Design for Manufacturing assessments with Engineering. 6. Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed. 7. Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures. 8. Incorporate Lean Manufacturing Methodology into existing and future processes. 10. With minimal supervision, lead/coordinate the implementation of projects between multiple organizations. 11. Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader. 12. Support all Safety, Sustainability and Ergonomic Initiatives. 13. Aid in development and maintenance of Business Continuity Plan. Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality. 2. Initiate and maintain accurate daily/weekly activity status based on work output, as required. Perform other duties as assigned.

Manufacturing Engineer has proven knowledge of Lean and/or Six Sigma principles. 2. Must be knowledgeable about Engineering configuration requirements, tooling, quality control, plant safety, blueprint reading, and shop math. 3. Ability to operate computerized equipment, such as personal computers, CADAM, CATIA, Solumina, SAP, Corridor. 4. Lean Six Sigma Greenbelt Certification or higher preferred.


Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Eighteen months Manufacturing Engineering, planning, or related technical experience.
 
Job Location: Savanna, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer (4 needed, Wing Assembly)

Description:
Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.

Unique Skills:
• Preferred Experience in a Manufacturing or Mechanical Environment. Should have good communication and customer satisfaction skills. Required Experience with ERP or Manufacturing Planning Software and Microsoft Office Software.
• SAP and or Solumina experience preferred.

Education and Experience Requirements:
• Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
• Eighteen months Manufacturing Engineering, planning, or related technical experience.



Principle Duties and Responsibilities:
• Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed). Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components).
• Author detailed work instructions that optimize manufacturing process efficiency and opportunity for zero defects with functional Operations Engineer.
• Author DRDI/DMT rework instructions to support effective corrective actions.
• Author MBOM in SAP/Solumina/Corridor (as applicable) to ensure all parts defined by Engineering are installed during the assembly/installation process.
• Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems.
• Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements.
• Investigate and assist in preparing justification for equipment and facility requests.
• Perform productibility studies from engineering drawings to identify opportunities to enhance manufacturing ability to meet requirements. Participate in Design for Manufacturing assessments with Engineering.
• Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed.
• Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures.
• Incorporate Lean Manufacturing Methodology into existing and future processes.
• With minimal supervision, lead/coordinate the implementation of projects between multiple organizations.
• Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader.
• Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality.
• Initiate and maintain accurate daily/weekly activity status based on work output, as required. Perform other duties as assigned.
• Proven knowledge of Lean and/or Six Sigma principles.
• Must be knowledgeable about Engineering configuration requirements, tooling, quality control, plant safety, blueprint reading, and shop math.
• Ability to operate computerized equipment, such as personal computers, CADAM, CATIA, Solumina, SAP, Corridor.
 
Job Number: 24-286
Job Location: Savannah, GA
Rate: $50
Per Diem: Yes
Overtime: Yes
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt Gregory
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer II

Description:
Location: Savannah, GA
Rate: $50.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****

Required Skills:
- Preferred Experience in a Manufacturing or Mechanical Environment.
- Should have good communication and customer satisfaction skills.
- Preferred Experience with ERP or Manufacturing Planning Software and Microsoft Office Software.

Requirements:
1. Proven knowledge of Lean and/or Six Sigma principles.
2. Must be knowledgeable about Engineering configuration requirements, tooling, quality control, plant safety, blueprint reading, and shop math.
3. Ability to operate computerized equipment, such as personal computers, CADAM, CATIA, Solumina, SAP, Corridor.
4. Lean Six Sigma Greenbelt Certification or higher preferred.

Education and Experience Requirements:
Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Eighteen months Manufacturing Engineering, planning, or related technical experience.

Position Purpose:
Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.

Principle Duties and Responsibilities:
Essential Functions: 1. Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed). Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components). 2. Author detailed work instructions that optimize manufacturing process efficiency and opportunity for zero defects with functional Operations Engineer. 14. Author DRDI/DMT rework instructions to support effective corrective actions. 15. Author MBOM in SAP/Solumina/Corridor (as applicable) to ensure all parts defined by Engineering are installed during the assembly/installation process. 16. Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems. 3. Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements. 4. Investigate and assist in preparing justification for equipment and facility requests. 5. Perform productibility studies from engineering drawings to identify opportunities to enhance manufacturing ability to meet requirements. Participate in Design for Manufacturing assessments with Engineering. 6. Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed. 7. Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures. 8. Incorporate Lean Manufacturing Methodology into existing and future processes. 10. With minimal supervision, lead/coordinate the implementation of projects between multiple organizations. 11. Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader. 12. Support all Safety, Sustainability and Ergonomic Initiatives. 13. Aid in development and maintenance of Business Continuity Plan.

Additional Functions: 1. Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality. 2. Initiate and maintain accurate daily/weekly activity status based on work output, as required. Perform other duties as assigned.
 
Job Number: 10009
Job Location: Savannah, GA
Rate: $50.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer II

Description:
Location: Savannah, GA
Rate: $50.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
- Preferred Experience in a Manufacturing or Mechanical Environment.
- Should have good communication and customer satisfaction skills.
- Required Experience with ERP or Manufacturing Planning Software and Microsoft Office Software.
- Preferred Manufacturing Planning experience.

Other Requirements:
1. Proven knowledge of Lean and/or Six Sigma principles.
2. Must be knowledgeable about Engineering configuration requirements, tooling, quality control, plant safety, blueprint reading, and shop math.
3. Ability to operate computerized equipment, such as personal computers, CADAM, CATIA, Solumina, SAP, Corridor.
4. Lean Six Sigma Greenbelt Certification or higher preferred.

Education and Experience Requirements:
Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Eighteen months Manufacturing Engineering, planning, or related technical experience.

Position Purpose:
Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.

Principle Duties and Responsibilities:
Essential Functions: 1. Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed). Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components). 2. Author detailed work instructions that optimize manufacturing process efficiency and opportunity for zero defects with functional Operations Engineer. 14. Author DRDI/DMT rework instructions to support effective corrective actions. 15. Author MBOM in SAP/Solumina/Corridor (as applicable) to ensure all parts defined by Engineering are installed during the assembly/installation process. 16. Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems. 3. Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements. 4. Investigate and assist in preparing justification for equipment and facility requests. 5. Perform productibility studies from engineering drawings to identify opportunities to enhance manufacturing ability to meet requirements. Participate in Design for Manufacturing assessments with Engineering. 6. Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed. 7. Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures. 8. Incorporate Lean Manufacturing Methodology into existing and future processes. 10. With minimal supervision, lead/coordinate the implementation of projects between multiple organizations. 11. Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader. 12. Support all Safety, Sustainability and Ergonomic Initiatives. 13. Aid in development and maintenance of Business Continuity Plan.

Additional Functions: 1. Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality. 2. Initiate and maintain accurate daily/weekly activity status based on work output, as required. Perform other duties as assigned.
 
Job Number: 9832
Job Location: Savannah, GA
Rate: $50.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer II

Description:
Location: Savannah, GA
Rate: $55.00/HR
Duration: Long Term W2 Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
- Preferred Experience in a Manufacturing or Mechanical Environment.
- Should have good communication and customer satisfaction skills.
- Preferred Experience with ERP or Manufacturing Planning Software and Microsoft Office Software.

Other Requirements:
1. Proven knowledge of Lean and/or Six Sigma principles.
2. Must be knowledgeable about Engineering configuration requirements, tooling, quality control, plant safety, blueprint reading, and shop math.
3. Ability to operate computerized equipment, such as personal computers, CADAM, CATIA, Solumina, SAP, Corridor.
4. Lean Six Sigma Greenbelt Certification or higher preferred.

Education and Experience Requirements:
Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Eighteen months Manufacturing Engineering, planning, or related technical experience.

Position Purpose:
Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.

Principle Duties and Responsibilities:
Essential Functions: 1. Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed). Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components). 2. Author detailed work instructions that optimize manufacturing process efficiency and opportunity for zero defects with functional Operations Engineer. 14. Author DRDI/DMT rework instructions to support effective corrective actions. 15. Author MBOM in SAP/Solumina/Corridor (as applicable) to ensure all parts defined by Engineering are installed during the assembly/installation process. 16. Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems. 3. Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements. 4. Investigate and assist in preparing justification for equipment and facility requests. 5. Perform productibility studies from engineering drawings to identify opportunities to enhance manufacturing ability to meet requirements. Participate in Design for Manufacturing assessments with Engineering. 6. Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed. 7. Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures. 8. Incorporate Lean Manufacturing Methodology into existing and future processes. 10. With minimal supervision, lead/coordinate the implementation of projects between multiple organizations. 11. Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader. 12. Support all Safety, Sustainability and Ergonomic Initiatives. 13. Aid in development and maintenance of Business Continuity Plan.

Additional Functions: 1. Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality. 2. Initiate and maintain accurate daily/weekly activity status based on work output, as required. Perform other duties as assigned.
 
Job Number: 9762
Job Location: Savannah, GA
Rate: $55.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer II - Composites

Description:
Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.

UNIQUE SKILLS
• Preferred candidate must have composite material structure manufacturing or aerospace manufacturing assembly experience.
• Solumina and/or Catia experience desirable.

EDUCATION AND EXPERIENCE REQUIREMENTS
• Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
• Eighteen months Manufacturing Engineering, planning, or related technical experience.

REQUIREMENTS
• Proven knowledge of Lean and/or Six Sigma principles.
• Must be knowledgeable about Engineering configuration requirements, tooling, quality control, plant safety, blueprint reading, and shop math.
• Ability to operate computerized equipment, such as personal computers, CADAM, CATIA, etc.
• Lean Six Sigma Greenbelt Certification or higher preferred.

ESSENTIAL FUNCTIONS
• Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed). Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components).
• Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems.
• Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements.
• Investigate and assist in preparing justification for equipment and facility requests.
• Perform producibility studies from engineering drawings.
• Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed.
• Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures.
• Incorporate Lean Manufacturing Methodology into existing and future processes.
• Utilize CADAM/CATIA data and nesting software to generate flat patterns, Master Models, NC data, and ply nests to meet Engineering and Manufacturing requirements.
• Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader.
• Support all Safety, Sustainability and Ergonomic Initiatives.
• Aid in development and maintenance of Business Continuity Plan.
• Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality.
• Initiate and maintain accurate daily/weekly activity status based on work output, as required.
 
Job Number: 24-292
Job Location: Savannah, FL
Rate: $50
Per Diem: Yes
Overtime: Yes
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt Gregory
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer w/Bachelor's

Description:
Manufacturing Engineer


Location: Danbury, CT


Description:
Position Summary:

Perform moderately complex functions in the process design, fabrication, modification and/or evaluation of Production

Operations. Will prepare complicated work plans, conduct support studies, and provide analysis for improvement of

Production Operations. Process documentation in support of product discrepancies, non-conformances and rework plans.

Duties and Responsibilities:

A large portion of time will be dedicated to processing discrepancies and non-conformance documentation in a
timely manner.

Developing and preparing work plans to build and rework products.

Attention to details in a procedurally driven environment.

Provide analysis or tests in the development of Production Operations.

Responsibilities are diverse in scope where analysis of situations or data requires sound judgment

General guidance given on new assignments as needed

Support, communicate, reinforce and defend the mission, values and culture of the organization

Involved in the development of manufacturing process plans, test requirements, and manufacturing flow, and

capacity plans. Review detail designs for manufacturability.

Basic Qualifications:

Bachelor’s degree in an applicable engineering field with 5+ years applicable engineering experience (or equivalent

combination of education/training and experience

Specialties may include manufacturing, production, industrial, welding, tooling, testing, processing, software, and

robotics

Lean training / experience required.

Preferred experience in electromechanical assembly, wiring and test.

Physical Requirements (Lifting, Walking, Bending, Stooping kneeling etc)

Most work performed while seated in an office environment. Occasional trips to manufacturing area are required. The
physical demands described here are representative of those that must be met by an employee to successfully perform the essential functions of this job. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions.

Min years of experience:

5+ years




Degree Requirements:

Bachelor’s Degree in engineering.




____________________________________________________________________

Butler America Aerospace, LLC. is proud to provide an equal opportunity workplace and be an affirmative action employer. Consider Butler because we are committed to hiring and retaining a diverse workforce. We recognize that we thrive on diversity for the benefit of our employees, our customers, and the communities where we are located. Employment with Butler America Aerospace, LLC. is governed on the basis of qualifications and competence without regard to race, color, religion, gender, national origin, ethnicity, veteran status, disability status, age, sexual orientation, gender identity, gender expression, marital status, mental or physical disability or any other legally protected status.
 
Job Number: 55469
Job Location: Danbury, CT
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6+ months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Laura Leszek
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Design Engineer

Description:
10 years of equivalent experience, and 6-8 years of Computer Aided Design software experience using PRO Engineer (PTC CREO3). Candidate must be capable of creating and interpreting detail, assembly, and installation type drawings, and have knowledge of the concept of statistical tolerance analysis and Geometric Dimensioning and Tolerancing (GD&T).
 
Job Number: 45315
Job Location: TX
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Designer

Description:
Will work as part of a cross functional team to design and document mechanical components. Responsible for mechanical design, documentation, and testing of single parts or full assemblies that will be use in production and/or test equipment. Will be responsible for gathering design requirements to document and support final design work.

GENERAL RESPONSIBILITIES:
Work directly with design engineers to produce drawings from sketches, existing drawings and electronic images.
Design parts based on written and verbal descriptions from design engineers.
Calculate tolerance stack-ups to verify design fit-up.
Utilizes design software to create models both virtual and actual to allow for testing.
Takes on projects as assigned using clear and innovative thinking to produce a final product design.
Assists with the Testing of designs in cooperation with available resources.
Uses off-the-shelf components wherever possible when developing new products.
Produces the necessary documentation to enable efficient manufacturing of products.
Keeps up to date on instrument product offering of company and is pro-active in recommending improvements to the design or manufacturing process.
Maintains safe and healthy work environment by following standards and procedures.
Updates job knowledge by participating in educational opportunities and reading technical publications.
Performs all other duties as assigned or directed.

QUALIFICATIONS:
Excellent mechanical aptitude
Strong problem-solving skills
Good verbal and written communication skills
Ability to make independent judgment yet participate as a team member
Ability to work and relate well with all levels of personnel
Must be responsive and flexible to schedule changes

EXPERIENCE:
Associates Degree or equivalent two-year vocational school education.
Minimum five (5) years of experience in mechanical design, or equivalent combination of education and experience.
Proficient using SolidWorks, Autodesk Inventor modeling software or equivalent.
Proficient using Microsoft WORD and EXCEL
 
Job Number: 11262018
Job Location: St. Petersburg, FL
Rate: 27.00 - 30.00 hrly.
Per Diem: no
Overtime: possible
Duration: Direct Hire
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: HI-TEC PROFESSIONAL SOLUTIONS INC
Attention: Anne Cameron
Address: P O BOX 965280
2886 SANDY PLAINS RD

City, State: MARIETTA, GA 30066
Phone: 770/575-5855
Fax Phone: 770/575-5856
Website: www.hi-tecsolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Designer

Description:
Supports the development and verification of test methods. Develops detailed test procedures, and instrumentation and configuration definition, needed to perform the test and minimize risk within the constraints of the test plan. Develops solutions to calibration systems problems of limited scope and impact. Designs test hardware, software and systems to achieve test requirements. Uses analytical tools to verify the design. Analyzes, processes, and formats data to customer requirements. Reviews test data, including off-nominal data, for accuracy, quality and/or fidelity prior to delivery to customer. Prepares and publishes test reports to document test results and satisfy requirements. Presents test results internally. Archives data and reports. Trains others. Works under general direction. Mechanical Designer with CATIA V5 experience.

Bachelor's degree(Required)
 
Job Number: 1032018
Job Location: Seattle, WA
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Shailendra Mishra
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical System Design & Analysis Engineer

Description:
Supports the development and documentation of mechanical and fluid system and thermal environment requirements to establish the system design. Performs verification and validation of component level requirements to approved processes and tools. Supports the development, maintenance or modification of system, component and installation designs/proposals to provide design documentation to downstream groups. Performs and documents analyses to validate and verify systems and components meet requirements and specifications. Supports system components'' designs/proposals standards to provide installation and detail documentation. Works with supplier for identification and review of supplier design changes for acceptability and impact. Trains others. Works under general direction.
Skills:
Software:DOORS
Technical Skills:
•Change Control
•Requirements Development
•Supplier Interaction
 
Job Number: 1113-2018
Job Location: Everett, WA
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Technician CA

Description:
163665 Job ID# 21501BR
Title Mechanical Technician
Job Summary General Atomics (GA), and its affiliated companies, is one of the world’s leading resources for high-technology systems development ranging from the nuclear fuel cycle to remotely piloted aircraft, airborne sensors, and advanced electric, electronic, wireless and laser technologies.

We recognize and appreciate the value and contributions of individuals with diverse backgrounds and experiences and welcome all qualified individuals to apply.

The Computer and Diagnostic Systems DIII-D/ITER team within the Energy affiliate company of General Atomics is seeking a talented Sr. Technician to work out of the Torrey Pines, CA office.

DUTIES AND RESPONSIBILITIES:

Under limited supervision, the Sr. Technician will be responsible for:•Responsible for fabrication, modification, installation, and documentation of complex laboratory, experimental or commercial equipment, including diagnostics (instruments)
•Interprets general instructions or detailed mechanical drawings, plans sequence of assembly, maintains quality assurance documentation and prepares sketches and schematics, with a working knowledge of RF and High voltage engineering principles
• Assist in the design and maintenance of basic lab, experimental, and commercial equipment.
•Maintains a clean and organized work space.
•Operates specialized equipment and/or performs tests requiring knowledge of processes pertinent to the organization, program or project.
•Responsible for execution of a set of laboratory experiments or for a complex laboratory function.
•Diagnoses and corrects operational problems or trouble sources in equipment or tests. Interprets basic information displayed to recognize when various operating measurements are drifting out of established parameters. Adjusts operating parameters to bring systems back to satisfactory operating conditions. Develops solutions to problems that occur including assisting in the design of specialized components.
•May provide direction to other technicians or craft personnel in construction and maintenance of equipment, operation of tests, or laboratory.
•Responsible for observing all laws, regulations and other applicable obligations wherever and whenever business is conducted on behalf of the Company. Expected to work in a safe manner in accordance with established operating procedures and practices.
•Perform other duties as assigned.


Qualifications Essential Qualifications Typically requires associate's degree or equivalent with seven or more years of experience. May substitute equivalent experience in lieu of education. Ability and willingness to work on systems which have unusual conditions such as high pressure, high voltage, high energy, cryogens, or radioactive materials. Knowledge of computer operations and applications pertinent to the field required. Must have analytical ability to read and understand detailed instructions, drawings and specifications. Communication skills necessary to write and record precise information. Special skills and expertise to use complex equipment or experimental techniques.
Additional Qualifications - Requires Associate’s degree or equivalent, with seven or more years of experience. May substitute equivalent experience in lieu of education.
- Ability and willingness to work on systems under unusual conditions involving high pressure gasses, high voltage, cryogens, high energy, radioactivity, etc.
- Proficiency in 3D CAD software such as Solidworks.
- Experience with various machining techniques (lathe, mill, CNC, etc.) and vacuum systems.
- Skill with soldering high precision assemblies.
- Must have analytical ability to read and understand detailed instructions, drawings and specifications.
- Must be able to lift up to 25 lbs.
- Communication skills necessary to write and record precise information.
- Experience with lasers, high voltage, RF electronics and basic knowledge of physics and electrical engineering is desired.
- Pay rate: $30-$35/hour
City San Diego
State California
Travel Percentage Required 0% - 25%
Clearance Required? No
Work Schedule Rule 5/40

Temp Assignment Duration (# of Days) 180
 
Job Number: JE-21501
Job Location: San Diego, CA
Rate: 30-35/hr
Per Diem: no
Duration: 6 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
NC Programmer

Description:
NC Programmer

Location: Riverside, CA
Pay Rate: $48.50/hr - $60/hr
Duration: 12 month W2 contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**
**NO RELOCATION COMPENSATION**

Description/Comment:
-The NC programmer is responsible for the preparation and development of numerical programs used to drive NC/CNC equipment and robots.
-Required to select the necessary tools that are used in trimming, drilling and machining composite and metallic components.
-Establishes sequence of operations for the machine's operations and creates/updates documents for setup.

Basic Requirements:
-High school degree, or GED, or equivalent is required.
-Minimum of 5 years, or 10000 hours, of CATIA experience required.
-Minimum of 5 years experience with CAM software, such as Mastercam, iCAM, etc.
-Experienced with creating NC programs for 5 and 6 axis CNC mills Excellent communication skills, both verbal and written.

Preferred Requirements:
-Vericut Simulation Software NC programming experience with Kuka or Fanuc robots, and CNC fiber placement machines.
 
Job Number: 9935
Job Location: Riverside, CA
Rate: 48.50-60
Per Diem: No
Overtime: Possible
Duration: 12 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
NDT DESTRUCTIVE TESTING - LEVEL 3 ENGINEER

Description:
JSG is hiring a Product Integrity & Quality Controls Engineer for our Client in Stratford, CT!

This is a position for a Non Destructive Testing (NDT) Level 3. In this position, located in Stratford, Connecticut, you will be primarily developing Ultrasonic (UT) nondestructive test instructions for composite components. Other NDT techniques will also be developed depending on candidates existing experience with other methods.

Occasional travel will be required to other Sikorsky Facilities within Connecticut and to Pax River, MD to review aircraft configuration, to perform Non Destructive Inspection, and to develop Inspection Techniques for aircraft.

You will work closely with a team of Design Engineers, NDT level 3's, Level 2’s, and Engineering technicians to design inspection standards, to coordinate for standard fabrication, and to write and approve inspection techniques for components using the standards.

The position is contingent upon being able to certify in UT level 3 per NAS 410 requirements within 90 days of beginning employment.

Secondary Level 3 responsibilities include performing engineering evaluations including defect analysis, NDT methods analysis, and inspection (when inspection is required must have a minimum level II certification). Prepare and review engineering specifications. Develop and evaluate new equipment and technology. Provide technical support to manufacturing, engineering, programs, field personnel, and suppliers. Audit internal and external NDT inspection facilities. Participate in development of NDT training course material for level I, II, and III. Test and certify NDT level I, II, and III inspectors in accordance with NAS 410 and company requirements.

Basic Qualifications :

Certification (ASNT Level 3 certification, NAS 410 Level 3 certification, or Equivalent in UT).
Ability to certify to NAS 410 Sikorsky UT level 3 requirements within 90 days of employment.
Including vision correctable to 20/25 in at least one eye.
Experience performing Ultrasonic NDT on Composite materials.
Proficiency in Microsoft Office products (Word, PowerPoint and Excel) and database utilization.
Must be a US Citizen or legally authorized to work in US as a permanent resident.
Desired skills :

Composite UT experience on aerospace components.
Level 3 Eddy Current (ET) certification, and Fluorescent Penetrant (PT) level 3 certification are a plus.
Bachelor's degree or above in Engineering or Technology discipline.
Documented 5-10 years of aerospace nondestructive testing.
Technical writing experience.
Non-destructive test (NDT) experience with composite structures.
The ability to collaborate and work well with fellow team members.
Experience using CATIA or other 3D modeling software a plus.
Familiarity with NAVAIR Nondestructive inspection manuals and processes.
Experience in Laser Testing Methods (LM), or Thermal/Infrared Testing (IR) is a plus
 
Job Number: 369310
Job Location: Stratford, CT.
Per Diem: If Qualified
Overtime: Possible
Duration: 18 Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Optical / Infra Red Test Engineer (643)

Description:
*This is a full time, 1 year, contract position based in Lexington, MA*



We are looking for an IR device testing engineer who will be working on production testing operations of aerospace systems. Position will include hardware and software support, data analysis and review and process documentation development.



Required:

*4 years’ experience in test engineering including test plans, procedure, cases of EO/IR (Electro-Optical/Infra-Red) systems.
*Hands on IR processing experience
*BSME, BSEE or equivalent



Preferred:
*Experience performing BIT analysis on EO/IR systems.
*Requirements derivation and test case development
*Experience with Independent Verification and Validation (IV&V)
 
Job Number: 643
Job Location: Lexington, MA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Electrical Engineer - Clearance CA

Description:
163582 Principal Electrical Engineer

Work Site Address : El Segundo CA
Job Number: 8786543

Start Date: ASAP
End Date: 12 months +
Position Type: Contract


Roles and Responsibilities
Responsibilities:
• Perform design concept definition, requirements analysis, resource/tool/equipment identification and schedule development
• Responsible for architecture design and design specification document
• Perform design entry in RTL in VHDL or Verilog and functional verification in Modelsim or Questa simulation tool
• Conduct hardware design demo and participate or lead design reviews
• Perform logic synthesis, static timing analysis and place & route in Xilinx or Altera design flow
• Responsible for documentation of design and test bench codes and verification procedures
• Maintain a record of the projects and control over the various configurations of the projects
• Maintain an effective means of communication among the intra-department and inter-department personnel
• Provide adequate design debug assistance to software and Integration and Test department during firmware, software and system integration and test
• Preparation of requirement specification, design description, simulation plan, test plan, test report and design descriptions related documents
• Knowledge of EVMS. Provide cost schedule and cost performance on firmware tasks applicable to the assigned program. Required qualifications:

Qualifications and Education Requirements
• Minimum of 8 years of ASIC or FPGA design experience
• Working knowledge with Modelsim / Questa simulation tools for code entry, simulation and code coverages
• Highly experiences with Xilinx or Altera FPGA design flow, synthesis, place and route, timing analysis, test and hardware design debug skills
• Strong organization, coordination, planning and teaming skills
• Ability to effectively multi-task and work efficiently in a high-paced environment

Experienced at leading hardware to software integration and system verification efforts necessary for the efficient integration and test
• Knowledge of government and/or commercial encryption products
• Knowledge of high grade, high assurance type 1 fail-safe design experiences Required Education:
• Bachelor of Science in Electrical Engineering, Computer Science, other related discipline or equivalent experience with minimum of 8 years of ASIC or FPGA design experience Desired Education:
• Master of Science in Electrical Engineering, Computer Science, other related discipline or equivalent experience with minimum of 8 years of ASIC or FPGA design experience
 
Job Number: MB-R8786543S
Job Location: El Segundo, CA
Rate: 70-90/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Software Engineer - Clearance / Embedded Software, C Software, C++ Software, Green Hills Integrity, Waterfall and/or Agile Scrum methodology, EVMS, IMS (Integrated Master Schedule), DOORS, Software or Systems Engineering Integration CA

Description:
Principal Software Engineer

Work Site Address : El Segundo CA
Job Number: 8652393
Max Pay Rate: 80-84HR
Start Date: ASAP
End Date: CTH (6 Months)
Position Type: Contract


Senior Software Engineer with C/C++ development skills to support multiple programs in a fast-paced environment for programmable cryptographic systems. This position will work closely with the Customer, Program Managers, technical and support staff to successfully meet customer requirements and expectations. The successful candidate will also need to be an effective communicator, leader and decision maker.


Role and Responsibilities include the following areas:
• Execute to program requirements. Ensure that cost and schedule commitments are being met to ensure on time delivery of the end product deliverables
• Debug, troubleshoot, issue investigation, find root cause and provide corrective action determination to address integration issues
• Interface directly with management team and customers in meetings and reviews
• Expand and influence program developments by working closely with the program managers and other engineers to process direction for the program
• Provide weekly reporting of key programmatic milestones in accordance with the program plan, while insuring risk mitigation throughout the program


Required Experience, Knowledge and/or Skills:
• 8-10 years software development experience
• Proven experience performing as a Senior SW Engineer for software development teams
• Proven experience in using Green Hills Integrity
• Proven experience spanning software architecture, design and system integration with experience developing embedded software utilizing C and C++
• Proven experience in leading teams using Waterfall and/or Agile Scrum methodology
• Proven experience in writing software test plan and test procedures
• Ability to support engineering team members on various programs
• Ability to multi-task, to support multiple customers, and to work within specific schedule and cost constraints
• Strong organizational skills and ability to motivate and lead a team
• Possess and demonstrate excellent interpersonal, presentation and verbal/written communication skills
• Excellent time management skills

Required Education Requirement: B.S. Computer Science, Computer Engineering, Software Engineering, Electrical Engineering or other Engineering discipline

Desired Education Requirement: M.S. in Computer Science, Computer Engineering, or Electrical Engineering

Preferred Skills
Desired Experience, Knowledge, and/or Skills:
• Certified EVMS
• Experience working with IMS (Integrated Master Schedule)
• Experience with Green Hills Integrity
• Experience with KIV-7M product
• Experience in Waterfall and Agile/Scrum, Continuous Integration Environments
• Experience with requirements management using DOORS
• Experience with configuration management using MKS and Git
• Software or Systems Engineering Integration expertise

Keywords: Embedded Software, C Software, C++ Software, Green Hills Integrity, Waterfall and/or Agile Scrum methodology, EVMS, IMS (Integrated Master Schedule), DOORS, Software or Systems Engineering Integration
 
Job Number: MB-R8652393S
Job Location: El Segundo, CA
Rate: 80-84/HR max
Per Diem: no
Duration: CTH (6 Months)
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Procurement Rep (Buyer/Analyst) - Clearance CA

Description:
162728
Procurement Rep (Buyer/Analyst)

Work Site : Palmdale, CA
Job Number: 1009-1
Max Pay Rate: 39.00HR

Start Date: ASAP
End Date: 3-6 Months
Position Type: Contract


Job Summary:
Develops subcontract specifications, work statements, and terms and conditions for the procurement of specialized materials, equipment, and services. Prepares bid packages, conducts bidders' conferences, analyzes and evaluates proposals, negotiates subcontract provisions, selects or recommends subcontractors, writes awards, and administers resulting subcontracts. Negotiates and coordinates additions, deletions, or modifications to subcontracts. Participates with contracts administration and purchasing to develop subcontract policies and procedures. Procurement of Airframe detail parts and assemblies from engineering drawings (build to print) in a Material Requirements Planning (MRP) system and Systems, Applications, and Processes (SAP) system in support of various airframes. Source and purchase machinery, equipment, tools, raw material, packaging materials, parts, services, and/or supplies necessary for operation of an organization. Compiles and analyzes statistical data to help determine feasibility of buying products and to help establish price objectives. Compiles information to keep informed on price trends and manufacturing processes. Confers with suppliers and learns to analyze suppliers operations to determine factors that affect prices and determines lowest cost consistent with quality, reliability, and ability to meet required schedules. Reviews proposals, negotiates prices, recommends suppliers, analyzes trends, follows up orders placed, verifies delivery, approves payment, and maintains necessary records. May prepare bid packages. Will work with many internal and external departments and customers. Some travel may be required.

Areas of Expertise Purchasing No 6
Software Skills SAP No 7
 
Job Number: JE-1009-1S
Job Location: Palmdale, CA
Rate: 39/hr max
Per Diem: no
Duration: 6 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Procurement Rep (Buyer/Analyst) Level 2 / no clearance CA

Description:
163353
1208-1 Procurement Rep (Buyer/Analyst)
Work Location: Palmdale, CA
Status: Open

Qty: 6
Desired Start Date: 10/25/2018
End Date: 1/9/2020
Work Schedule: 9/80

Job Description:
Develops subcontract specifications, work statements, and terms and conditions for the procurement of specialized materials, equipment, and services. Prepares bid packages, conducts bidders' conferences, analyzes and evaluates proposals, negotiates subcontract provisions, selects or recommends subcontractors, writes awards, and administers resulting subcontracts. Negotiates and coordinates additions, deletions, or modifications to subcontracts. Participates with contracts administration and purchasing to develop subcontract policies and procedures. Procurement of Airframe detail parts and assemblies from engineering drawings (build to print) in a Material Requirements Planning (MRP) system and Systems, Applications, and Processes (SAP) system in support of various airframes. Source and purchase machinery, equipment, tools, raw material, packaging materials, parts, services, and/or supplies necessary for operation of an organization. Compiles and analyzes statistical data to help determine feasibility of buying products and to help establish price objectives. Compiles information to keep informed on price trends and manufacturing processes. Confers with suppliers and learns to analyze suppliers operations to determine factors that affect prices and determines lowest cost consistent with quality, reliability, and ability to meet required schedules. Reviews proposals, negotiates prices, recommends suppliers, analyzes trends, follows up orders placed, verifies delivery, approves payment, and maintains necessary records. May prepare bid packages. Will work with many internal and external departments and customers. Some travel may be required.

Will accept experience in lieu of degree.
-Buyer/Procurement defense/aviation/aerospace
experience.
- FAR/DFAR
-TINA (Truth & Negotiations Acts)
-Experience with negotiating contract types
(Note-this is not a logistics role.)

Level 2: BS+4-8 YRS or MS+2-6 YRS
Pay Rate: $50.00 Hourly

Areas of Expertise Advanced Purchasing No 1 4 - 6 Years
Areas of Expertise Commodity Purchasing No 1 4 - 6 Years
Areas of Expertise Purchasing No 1
Software Skills SAP No 2
Technical Skills Purchasing No 1
 
Job Number: JE-1208-1
Job Location: Palmdale, CA
Rate: 50/hr max
Per Diem: no
Duration: 15 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Procurement Rep (Buyer/Analyst) Level 3 / no clearance CA

Description:
163353 / 1207-1 Procurement Rep (Buyer/Analyst)

Location: Palmdale, CA
Status: Open

Qty: 6
Desired Start Date: 10/31/2018
End Date: 1/15/2020
Work Schedule: 9/80

Job Description:
Develops subcontract specifications, work statements, and terms and conditions for the procurement of specialized materials, equipment, and services. Prepares bid packages, conducts bidders' conferences, analyzes and evaluates proposals, negotiates subcontract provisions, selects or recommends subcontractors, writes awards, and administers resulting subcontracts. Negotiates and coordinates additions, deletions, or modifications to subcontracts. Participates with contracts administration and purchasing to develop subcontract policies and procedures. Procurement of Airframe detail parts and assemblies from engineering drawings (build to print) in a Material Requirements Planning (MRP) system and Systems, Applications, and Processes (SAP) system in support of various airframes. Source and purchase machinery, equipment, tools, raw material, packaging materials, parts, services, and/or supplies necessary for operation of an organization. Compiles and analyzes statistical data to help determine feasibility of buying products and to help establish price objectives. Compiles information to keep informed on price trends and manufacturing processes. Confers with suppliers and learns to analyze suppliers operations to determine factors that affect prices and determines lowest cost consistent with quality, reliability, and ability to meet required schedules. Reviews proposals, negotiates prices, recommends suppliers, analyzes trends, follows up orders placed, verifies delivery, approves payment, and maintains necessary records. May prepare bid packages. Will work with many internal and external departments and customers. Some travel may be required.

Level 3: BS+9-15 YRS or MS+7-13 YRS
Pay Rate: $52.00 Hourly

Experience
Areas of Expertise Advanced Purchasing No 1 4 - 6 Years
Areas of Expertise Commodity Purchasing No 1 4 - 6 Years
Areas of Expertise Purchasing No 1
Software Skills SAP No 2
Technical Skills Purchasing No 1
 
Job Number: JE-1207-1
Job Location: Palmdale, CA
Rate: 52/hr max
Per Diem: no
Duration: 15 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Project Manager

Description:
Role and Responsibilities:

  • Manage projects with top-down oversight throughout entire execution to ensure success as defined by adherence to standards of scope, budget, and timeline

  • Develop comprehensive project plans that merge customer requirements with company goals and coordinate various managers and technical personnel during all project phases, from initial development through implementation.

  • Monitor project progress continuously and make detailed scheduled reports on measureable items, such as milestones and deliverables.

  • Identify problems, create solutions, and implement efficiency improvements.

  • Analyze economics of project plans and provide actionable feedback relating to cost benefit and return-on-investment standards.

  • Oversee acquisition of resources and materials as needed before and during projects by talking with customers, team members, managers, and in-house accountants and negotiating price and payment agreements with vendors.


Core Qualifications:


  • 5 years' experience in project management

  • familiarity with Smart Sheet software's collaboration and time management tools

  • proficient in Gantt Charts in addition to Microsoft Office

  • experience with process improvement and inventory control

  • PMP Certification

  • advanced time management and analytical skills

  • working knowledge of Microsoft Excel

  • excellent client-facing communication skills

  • Bachelor's degree in computer science, information technology or related field; MBA a plus

  • evidence of continuing education, such as certifications, a plus

  • minimum 5 years' experience in either I.T or Engineering Discipline, Computer Science

  • exceptional communication and reporting skills

  • outstanding leadership skills and ability to delegate responsibilities effectively




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.

 
 
Job Number: 18-44135
Job Location: Milwaukee, WI
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Duration: Direct
Start Date: 2018-10-29 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Vincent Holly
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Auditors

Description:
STS Technical Services is currently hiring Quality Auditors in Miami, Florida.

Note: Our staffing services come at no cost to you, the candidate. This is job seeking made simple! For more details, please contact our recruiters at 1-800-359-4787.

Overview:
Auditors ensure individuals and agencies that perform maintenance and/or maintenance/fuel related services perform those duties in accordance with Company policies and procedures.

Duties & Responsibilities:
Based on the experience level of the Quality Assurance Auditor, they will be required to accomplish any or all of the following job duties. Findings will be recorded and conveyed for corrective action and follow-up as required

Audits:

External Auditors will perform external audits of contract agencies and vendors that repair,
overhaul or perform other maintenance on Company aircraft, engines, appliances or components, in accordance with Company policies

Heavy Check Audits – Auditor shall monitor the Company Maintenance and Inspection Programs to assure continuing compliance with FAR’s, Company regulations and policies, procedures specifications and maintenance practices. Accomplishes In-Process audits as detailed in the Quality Assurance Audit Program
Auditors may conduct follow-up audits, as required to ensure problems found during external/internal audits are corrected within time limitations specified in the Company’s General Maintenance Manual
Auditors shall maintain records of audits and findings to document compliance. Review Corrective Actions of audit discrepancies to assure satisfactory corrective action and preventive actions are accomplished in a timely manner
Auditors shall perform additional tasks as specified in the CASS/Reliability section of the Company’s General Procedures Manual
Auditors may be required to accomplish investigations as needed

Auditors shall be tasked and responsible for the oversight of core auditing functions within the Quality Assurance group, as assigned by the Senior Manager of Quality
Auditors shall participate in the training of all new hire auditors, as assigned by the Manager of Quality

Qualifications:
Mechanic’s Certificate with Airframe and Powerplant (A&P) ratings preferred
Extensive maintenance, Quality Control, Quality Assurance, or CASS experience preferred
A suitable four year college degree with an emphasis in Business or Aviation maybe substituted for experience or an A&P certificate
Must have and be able to demonstrate solid writing and communication skills and must be proficient with computer skills to include being proficient with Microsoft Word, Access, and Excel
Must be able to obtain a valid passport as extensive domestic and international travel maybe required

Certificates & Licenses:
Certified ISO 9001 Auditor

Education:
Masters Degree or five or more years related experience and or training; or equivalent combination of education and experience

Skills:
To perform this job successfully, an individual should have knowledge of Word Processing software; Spreadsheet software

Language Ability:
Ability to read, analyzes, and interprets general business periodicals, professional journals, technical procedures, or governmental regulations
Ability to write reports, business correspondence, and procedure manuals
Ability to effectively present information and respond to questions from groups of managers, clients, customers, and the general public

Math Ability:
Ability to work with mathematical concepts such as probability and statistical inference, and fundamentals of plane and solid geometry and trigonometry
Ability to apply concepts such as fractions, percentages, ratios, and proportions to practical situations

Reasoning Ability:
Ability to solve practical problems and deal with a variety of concrete variables in situations where only limited standardization exists
Ability to interpret a variety of instructions furnished in written, oral, diagram, or schedule form

Physical Demands:
The physical demands described here are representative of those that must be met by an employee to successfully perform the essential functions of this job. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions
The employee must occasionally lift and/or move up to 10 pounds
Specific vision abilities required by this job include Close vision
While performing the duties of this Job, the employee is regularly required to sit; use hands to finger, handle, or feel and talk or hear
The employee is frequently required to reach with hands and arms
The employee is occasionally required to stand; walk and stoop, kneel, crouch, or crawl

Benefits:
STS Technical Services offers direct deposits / electric payroll, an awesome medical insurance program, cash advances, and the opportunity to refer a friend for compensation.

We know it takes great people to do great work, so if you’re interested in joining the STS Aviation Group family, we encourage you to apply on this page. Have questions? Give us a call at 1-800-359-4787.

Thanks for taking the time, and we look forward to hearing from you soon.
 
Job Number: 43769
Job Location: Miami, FL
Duration: Contract
Input Date: 11/14/2020
Firm Name: STS AEROSTAFF SERVICES
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Engineer

Description:
This position is responsible for designing, developing, coordinating and deploying work instructions/procedures and associated process controls for the assigned product line/family.

• Complete Quality Engineering assignments such as contract review, manufacturing support, PPAP, auditing and corrective action investigations with minimal supervision.
• Define, specify, and implement quality standards and procedures for manufacturing processes.
• Review customer/industry/internal specifications to ensure compliance with stated requirements
• Lead or provide technical advice and counsel to cross-functional teams assigned to process improvement projects / problem solving teams / product development teams.
• Facilitate quality processes for transfer of work from Beltsville to other facilities or transfer work into Beltsville from outside facilities.
• Ensure that products shipped meet internal and external customer requirements.
• Host Customer and Third-Party Auditors as needed.
• Other duties as assigned

Required (Basic) Qualifications:
• Bachelor’s degree from an accredited institution
• Minimum 5 years experience as a quality engineer in a manufacturing environment.

Preferred Qualifications:
• Bachelors of science degree in a technical field
• Ability to read and understand blue prints, specifications, and requirements including GD&T.
• Experience using PC configured with MS Windows OS. Familiarity with MS Office software is desired
• 3 years of aerospace experience
• Prior supervisory experience is preferred.
• ASQ Certified Quality Engineer (CQE), Certified Quality Auditor (CQA), or Manager of Quality/Organizational Excellence (MOQ/OE) is preferred.
• Experience in performing Quality Audits.
• Technical proficiency in Production Part Approval Process (PPAP) and Failure Mode and Effect Analysis (FMEA) models

Position Success Criteria :
• Ability to read and understand blue prints, specifications, and requirements including GD&T.
• Familiarity or experience with machining, welding, assembly operations, gauging, APQP, and Quality assurance systems (ISO 9001, AS9100).
• Project Management experience is preferred.
 
Job Location: Beltsville, MD
Rate: 45.00
Per Diem: no
Duration: LT
Input Date: 11/14/2020
Firm Name: 804 TECHNOLOGY
Attention: Dwayne Crecelius
Address: 5381 HIGHWAY N STE 201
City, State: COTTLEVILLE, MO 63304
Phone: 636/928-0330
Fax Phone: 636/530-3693
Website: www.804technology.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Robotic Operating System Engineer ROS (627)

Description:
*This is a full time, 1 year, contract position, located in Littleton, CO*



We are hiring for a Robotic Operating System (ROS) Engineer who will design, develop, document, test, and debug ROS software at an aerospace manufacturing plant that uses Automated Guided Vehicles (AG or AGV). Candidate will be expected to work throughout the entire development life cycle.



Required:
*2-6 years’ experience developing, designing and implementing robotic systems; ROS experience.
*C++, Controls Python development experience, with Embedded Linux on multicore Xeon processor experience.
*SW Testing and Simulink based development experience; simulation validation control knowledge
*Mission planning and ground vehicle testing experience.
*Familiar with interface development, particularly sensors; knowledge of autonomy algorithms.
*Able to interpret, parse and repair/fix legacy code.

Pluses:
*Familiar with an Agile Scrum environment.

*Engineering, applied mathematics, physics or robotic systems degree
 
Job Number: 627
Job Location: Littleton, CO
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
SR. MANUFACTURING ENGINEER

Description:
JOHNSON SERVICE GROUP is looking for an experience SR. Manufacturing Engineer for our Aerospace Client in Simi Valley, CA.


Brief Description

Successful candidate will implement lean process improvements in a precision machining environment that reduce process variation and improve productivity, as part of the Manufacturing Engineering team. This position is located in Simi Valley, CA.

Responsibilities:

Reduce scrap and non-conformances through training and improved process control
Improve sales OTD through training, set-up reduction, and process improvements
Recommend and implement new equipment, including process planning and CNC programming development.
Develop and modify manufacturing processes including process planning, process drawings using CATIA software, fixtures, cutting tools, gages, programs, special processes, assembly, and inspection
Participate in LEAN initiative and engage Six Sigma tools for process improvements
Assure that manufacturing engineering technical data is complete and accurately documented to provide an accurate technical/historical base for future reference that meet customer requirements

Qualifications:

BS Degree in Manufacturing, Mechanical, Aeronautical, Industrial, Materials Engineering
10+ years experience with most phases of prototype or small batch aerospace precision machining processes including:
Experience and knowledge of manufacturing processes and cutting tools
Fixture design
Experience and knowledge of in-process and final inspection tools and methods
Manufacturing Process Planning utilizing CATIA and MS NAV
Knowledge of special processes
Knowledge of quality management systems (AS9100)
Cost Estimating is preferred
Heat Treating and Metallurgical process knowledge
Plating and Coating process knowledge
Experience with CATIA, Microsoft Dynamics NAV, PC-DMIS is preferred
Experience with Lean, Six Sigma is preferred
Must be US Citizen or Permanent Resident Card Holder
 
Job Number: 368174
Job Location: Simi Valley, CA
Per Diem: If qualified
Overtime: Possible
Duration: 3 Month +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Senior Embedded Software Engineer (PEER) - Clearance / development of C/C++ software for embedded systems AZ

Description:
163123
Job Title: Senior Embedded Software Engineer (PEER)


Work Site Address: Tucson AZ
Job Number: 8586857

End Date: 7-9 Months
Position Type: Contract



Applies technical expertise in the development of C/C++ software for embedded systems. Develops complete software solutions, and influences the successful completion of supported programs and projects. Reviews software products for soundness of technical correctness and adequacy. Produces documentation related to the design and release of software artifacts. Displays initiative and can work well alone or as a member of a team. Follows organizational/program/project processes and procedures. Interacts with senior software personnel through both written and verbal communication.

Qualifications and Education Requirements
Required Skills/Experience:
• Minimum of 8-10 years of experience developing embedded software in C and C++;
• Experience developing embedded software in C/C++, preferably for the ARM processor family;
• Experience and knowledge of VxWorks and Linux computing environments;
• Hardware/software integrator for real time embedded software, especially of Ethernet, DDR4, and QSPI, as well as proprietary hardware;
• Experience with all phases of software development including requirements analysis, implementation, unit test, integration and maintenance;
• Bachelor’s or Master’s Degree in Computer Science, Computer Engineering, Mathematics, Electrical Engineering, or a related field

Preferred Skills
Experience and comfort working with hardware, including troubleshooting with Oscilloscopes, logic analyzers, volt meters, etc., as part of system development team;
• Working knowledge and experience with configuration management tools;
• Excellent written and verbal communication skills;
• Ability to work in an integration lab environment working on software / hardware / firmware development;
• Experience with Eclipse/CDT / VxWorks integrated development environment.
 
Job Number: MB-R8586857S
Job Location: Tuscon, AZ
Rate: open
Per Diem: no
Duration: 9 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Senior Facilities Engineer – Level 3

Description:
Location: Camden, AR
Rate: $50.00 - $54.00/HR
Duration: 3 month contract
Schedule: 4/10
Per Diem Split: Yes (if eligible)

** Candidates must be U.S. Citizens **
** Permanent residents are NOT eligible for this role **
** Dual citizens are NOT eligible for this role. Also, candidates with a current non-U.S. passport in addition to a U.S. passport are NOT acceptable **

** MUST be able to obtain a Secret Clearance **

Duties:
Under general direction, the Senior Facilities Engineer determines approaches to objectives
demonstrating a complete understanding and application of principles, concepts, and practices.

Typical responsibilities include:
- Provides solutions to problems involving unusual complexity
- Conceives and plans facilities engineering projects involving the development of new approaches and methods to attain objectives
- May provide input to department objectives and long-range goals
- Keeps current within area of specialty in support of project assignments
- Provides technical advice on projects, including feasibility, planning, costs, and time
- Prepares data required for special projects to ensure maximum cost effectiveness
- Acts as a technical advisor and expert on process systems, as well as equipment and manufacturing facilities
- Ensures that work performed by the Company’s personnel or contractors conforms to national, state and local laws, regulations and codes
- Develops standards and design criteria for projects such as the construction and renovation of facilities, office and plant layouts, space planning, etc.
- May direct critical projects with large scope and difficult complexity
- May represent the organization at staff meetings, project review meetings, etc.
- May assign projects and provide direction to less senior employees and support staff
- Represents the Company as the prime contact on assigned facilities engineering projects
- May plan, schedule, oversee, and assign preventive maintenance and repair assignments
- Plans procurement strategies and provides technical expertise in the construction of well head facilities, pipelines, and treatment plants

Skills:
Required:
Must have the ability to obtain a Security Clearance

Knowledge of:
- Facilities Engineering principles and practices
- Standards, codes, and regulations pertaining to the design, construction, and maintenance of structures and facilities
- Computer systems and applicable software
- Modern materials, methods, and techniques of design, construction, installation, and maintenance of structures and facilities
- Site remediation and treatment operation
- Development and use of contracts, estimates, and specifications
- Techniques and procedures of project management and procurement
- Occupational Safety and Health regulations and safe work practices
- Elements of cost in construction and maintenance, including the determination of unit cost records
- Cost of construction and maintenance, including the determination of unit cost records
- Building construction processes and procedures, equipment, tools, materials, and their application
- Local, state, and federal building codes and standards
- Inspection and investigation techniques
- Use of engineering instruments and tools
- Facility and equipment maintenance principles and practices

Ability to:
- Make engineering designs and prepare complete plans for projects
- Maintain records and prepare reports and correspondence related to the work
- Physical skill and ability to inspect working environments, which may include climbing ladders and operating equipment
- Communicate effectively with others
- Maintain favorable public relations
- Read and interpret construction blueprints, plans, drawings, and specifications
- Analyze costs of construction projects
- Determine compliance of structures and specifications
- Learn and utilize computer aided design system
- Maintain records and prepare reports and correspondence related to the work
- Use computers and available software applications to maintain records and prepare technical reports

Desired Skills:
- Have experience as a project lead working on capital projects greater than (USD)5M in scope.


Education:
- A Bachelor's degree in an appropriate discipline and six (6) years of directly related experience, or an
equivalent combination of education and experience.
 
Job Number: 9796
Job Location: Camden, AR
Rate: $50.00 - $54.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 3 mos
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sharepoint Administrator

Description:
Sharepoint Administrator

Windsor Locks, CT
$86.86/hr
6 month contract
First Shift
*MUST BE A U.S. CITIZEN*
*NO RELOCATION COMPENSATION / NO PER DIEM SPLIT*

Description:
Will need to provide SharePoint Specialist’s assistance by contributing to strategic design and implement SharePoint software and components, including integration with databases and data warehouses. Support the current Collins collection of applications. Participate in COLLINS Communication & Collaboration strategic projects. Select, blueprint, gather requirements, design, and roll out solutions to end users.

-Bachelors degree required

Ensure our systems stay compliant with UTC policies, US and International laws.

The SharePoint Architect is also responsible for ensuring high levels of system availability through monitoring and in-depth testing.

Execute DT projects that support UTC Aerospace Communication & Collaboration Tools business objectives. The projects can include developing custom applications or implementing software solutions purchased from a third-party Application Service Providers. Projects typically involve working with UTC divisions.

1. Build and completely configure environment with SharePoint 2013/2016.

2. Install the following software in the SharePoint Development, Staging and Production environment:
a. Metalogix
b. Control Point
c. Nintex
d. Doc Ave
e. AgilePoint

3. Assist with updating SharePoint look and feel templates.

4. Build/Support MS Project 2013/2016 Farm.

5. Perform configuration changes as needed on production environment which are submitted through Help Desk tickets and service requests.

6. Review and fix SharePoint security as needed.

7. Ensure knowledge transfer of best practices.
8. Provide ongoing operation and maintenance activities including periodic software upgrades.

9. Ensure technical architecture designs are compatible with the department's current / future standards and are supportable.

10. Assess and cultivate long-term strategic goals for SharePoint/SharePoint BI development in conjunction with end users, managers, clients, and other stakeholders.

11. Direct, organize, and lead projects in the implementation and use of new SharePoint/SharePoint BI software tools and systems.

12. Design, test, and document all modification of the SharePoint/SharePoint BI environment.

13. Develop reports and report definitions for monitoring the SharePoint/SharePoint BI.

14. Assist in the design of databases and data warehouses to ensure interoperability within Collaboration Tools supported data warehouses.

15. Analyze user requirements and, based on findings, design functional specifications for SharePoint/SharePoint BI.

16. Conduct job duties and responsibilities according to the organization’s business systems development methodology and/or its Systems Development Life Cycle (SDLC) methodology. Also, familiar with the Agile methodology.

17. Assist end-user training and training materials; provide technical support as necessary.

18. Troubleshoot tools, systems, and software; performance-tune these applications as necessary.

19. Remain abreast of trends in relevant software and technologies. As required, lead efforts to analyze and recommend solutions.

1. Experience / knowledge of two or more the following technologies:
• Microsoft .NET framework
• C#, VB .NET
• Windows Server 2012
• Microsoft SQL Server 2014, SSIS, SSAS, SSRS
• Microsoft SharePoint 2013/2016
• Microsoft Performance Point Server
• Microsoft Excel 2013 Power Pivot
2. Minimum of 5 -7 years direct work experience.
3. Demonstrated ability with installation of BI tools.
4. Strong ability to install and configure a SharePoint 2013/2016 farm.
5. Strong understanding of SharePoint 2013: theories, principles, and practices.
6. Exceptional analytical, conceptual, and problem-solving abilities.
7. Strong understanding of the organization’s goals and objectives.
8. Strong written and oral communication skills.
9. Strong presentation and interpersonal skills.
10. Able to prioritize and execute tasks in a high-pressure environment.
11. Experience working in a team-oriented, collaborative environment.
 
Job Number: 10163
Job Location: Windsor Locks, CT
Rate: 86.86
Per Diem: No
Overtime: Possible
Duration: 6 mos
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Configuration Build Engineer - Secret Clearance

Description:
Job Description:

Opportunity for contract-to-hire based on worker performance, worker attendance and dependability and client business needs.



Duties will include:

Configuration Management: Managing views, VOBs, configuration specs and communicating these items to the team

Processing baseline update requests (BURs), creating builds, and updating labels in ClearCase or TFS

Maintaining the build script

Teaching people how to use the build script and the CM tool

Maintaining source lines of code (SLOC) metrics encompassing generating SLOC numbers for each build; and Document SLOC numbers in the metrics

Perform preliminary software smoke testing and high level functional testing

Writing the software version descriptions (SVD)



Preparing Installations: Creating and updating InstallShield project files for software and database installs; Debugging installs

Set up and assist SW engineers to download VMs, configuration specs, etc.

Other tasks include checking in CDs and DVDs into the lab and documenting processes and suggesting process improvements



Knowledge, Skills and Abilities:

The following are highly desired:

•Rational ClearCase

•Microsoft Team Foundation Server (TFS) 2015

•InstallShield 2015, InstallScript

•VMware

•Microsoft Office

•CMMI

•Scripting (VB Script, shell scripting, batch)



Education/Experience:

A Bachelor's degree from an accredited institution and two (2) years of work experience required. Allowable substitution: Applicants with at least six (6) years of relevant technical experience, without a degree, will be considered. Selected candidate must be detail oriented.

 
Job Number: 18-15115
Job Location: Camarillo, CA
Rate: 70.0USD/Hour
Per Diem: Split
Overtime: Possible
Duration: 2018-08-10 00:00:00.0
Start Date: 2018-05-07 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Cody Grimes
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Configuration Build Engineer - Secret Clearance

Description:
Job Description:

Opportunity for contract-to-hire based on worker performance, worker attendance and dependability and client business needs.



Duties will include:

Configuration Management: Managing views, VOBs, configuration specs and communicating these items to the team

Processing baseline update requests (BURs), creating builds, and updating labels in ClearCase or TFS

Maintaining the build script

Teaching people how to use the build script and the CM tool

Maintaining source lines of code (SLOC) metrics encompassing generating SLOC numbers for each build; and Document SLOC numbers in the metrics

Perform preliminary software smoke testing and high level functional testing

Writing the software version descriptions (SVD)



Preparing Installations: Creating and updating InstallShield project files for software and database installs; Debugging installs

Set up and assist SW engineers to download VMs, configuration specs, etc.

Other tasks include checking in CDs and DVDs into the lab and documenting processes and suggesting process improvements



Knowledge, Skills and Abilities:

The following are highly desired:

•Rational ClearCase

•Microsoft Team Foundation Server (TFS) 2015

•InstallShield 2015, InstallScript

•VMware

•Microsoft Office

•CMMI

•Scripting (VB Script, shell scripting, batch)



Education/Experience:

A Bachelor's degree from an accredited institution and two (2) years of work experience required. Allowable substitution: Applicants with at least six (6) years of relevant technical experience, without a degree, will be considered. Selected candidate must be detail oriented.

 
Job Number: 18-15115
Job Location: Camarillo, CA
Rate: 70.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2018-08-10 00:00:00.0
Start Date: 2018-05-07 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Cody Grimes
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

Software Developer

Description:
OOP, C++, Linux, scripting language, secret clearance
 
Job Location: Denver, CO
Input Date: 11/23/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Michael Geil
Address: 1600 AIRPORT FWY STE 400
City, State: BEDFORD, TX 76022-6871
Phone: 817/545-4009
Fax Phone: 817/545-2006
Email: mgeil@jsginc.com
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Job Description:
Typical duties include backup of critical systems and data, restoration of accidentally deleted files, restoration of systems on a variety of hardware and software, administration of user accounts on servers running various operating systems, and maintenance and development of scripts in various languages and shells such as Apex Scripting language, bash, ksh and csh, and Windows batch files. Work with various technical support organizations to solve problems requiring outside assistance. Troubleshoot and configure networks, and switches. Install software and operating systems, configure, update and repair computers and servers as needed. Maintains and operates the Flight Engineering simulator which is made up of several computers and tablets running Windows 10 and two computers running SUSE linux.

The applicant should have a strong background in Unix and should have experience with the following hardware, operating systems and software.
Hardware: M5000 Oracle Sun server with 24 disk Fiber disk array, iHawk Simulation Servers with Real Time Clocks, Charon Virtual VAX hosted on Windows server 2008, Hardware Firewall, Large Uninterruptible Power Supply units, 400HZ Power supply for Aircraft simulations, 1553 bus and Ethernet networks, LTO-5 Tape Library
Operating Systems: Solaris 10 SPARC 64, Windows NT, XP, 7, 10, Windows server 2008, VMS 6.2, DOS 3.3, Redhat Linux 5.2.5, RedHawk RTOS 5.2, SUSE, WindRiver VxWorks
Software: Opentext Exceed, OpenText NFS Maestro, Git, GnatPro Ada, Microsoft Office, PowerTerm, Putty, ClearCase, ClearQuest, DOORs, Apex on Solaris (Ada development environment), Rsync, Acronis True Image, Beyond Compare, FlexLM license Manager on Unix and Windows, VLC, PASS3200 1553
 
Job Number: SE2018
Job Location: Fort Walton Beach, FL
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Shailendra Mishra
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Our client's Avionics software group is seeking an engineer that would be responsible for design, development, and integration of embedded applications. Technologies utilized by the systems are primarily in C/C++ on various platforms including Linux. We encourage you to apply if you feel your background meets the qualifications listed!

Required Experience:
* Bachelors degree (preferably in Computer Science or a related field) and 4+ years experience, OR Masters degree with 2+ years experience
* Experience interacting with customers throughout the design cycle including major design reviews and architecture reviews
* 3+ years of experience with C++ programming language or similar object-oriented language
* Experience writing/modifying linux device drivers
* Strong understanding of Linux kernel-space/user-space
* Experience writing real-time/low-level software




Desired Skills:
* OOA/OOD/UML experience
* Ethernet, NFS, BSP, PCIe, and SR-IOV
* Linux kernel experience
* Experience with 1394, fiber channel, and 1553 driver development
* LynxOS development experience
* Experience with Built In Test, safety-critical software development


Years of Experience:
4 Years Minimum


Minimum Degree Required:
Bachelor's degree
 
Job Number: 46179
Job Location: Palm Bay, FL
Duration: 6 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Rick Nussbaum
Address: 1857 WELLS RD STE 216
City, State: ORANGE PARK, FL 32073
Phone: 904/562-3214
800 Phone: 866/277-3343
Fax Phone: 904/713-2000
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Position Overview:

Designs, develops operates and maintains software components and computing systems software to be applied to and integrated with engineering, scientific and manufacturing requirements.



Applies the appropriate standards, processes, procedures and tools throughout the system development life cycle to support the generation of engineering applications and products.



Developments real time embedded systems involving SW for digital signal processing, electronic warfare, and control and display components. Interfaces with customers, suppliers, application users and other technical and support personnel.



A Bachelor’s degree in Computer Science, Electrical Engineering, or related engineering field.   (MUST BE ABLE TO OBTAIN A SECURITY CLEARANCE)


  • 9+ Years of Embedded Software Engineering experience.

  • Real Time Operating Systems Experience.

  • Must have experience with C++ programming languages:(Either applicable. No preference) :

  • C++

  • EW Background • Capable of working on both high and low level software • VxWorks or similar embedded OS experience • UML design experience




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.
 
Job Number: 18-49460
Job Location: Clifton, NJ
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2019-06-21 00:00:00.0
Start Date: 2018-12-17 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Brian Carrillo
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
No Clearance Required

Proficiency with C / C++
Python / Scripting Experience
Test case, procedure development and execution experience
Experience with software integration and debugging techniques
Linux / Unix experience
Experience with Software Configuration Management tools (such as Perforce, GIT, Tortoise SVN, or equivalent)
 
Job Number: 45305
Job Location: NY
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Software Engineer

Location: Windsor Locks, CT
Rate: $45.25/HR
Duration: 2 year contract
Shift: 1st Shift
Overtime: Possible
Per Diem Split or Relocation: No


****MUST BE A U.S. CITIZEN OR PERMANENT RESIDENT****
****MUST HAVE A BACHELOR'S DEGREE****


Responsibilities:
- Analyze customer and system requirements, and instantiate embedded software solutions to meet.
- Perform software verifications utilizing a combination of analysis and test.
- Provide ongoing maintenance and enhancements to existing systems.
- Collaborate cross-functionally with hardware designers, systems engineering, reliability, and customers to achieve elegant and reliable solutions.
- Provide recommendations and implement continuous improvements.

Qualifications:
Required:
- Clear, concise communication style and attention to detail with a high degree of accuracy required.
- Experience with LabVIEW Simulink (and/or NI MATRIXx).
- Experience with Java, C#, Matlab, XML, and developing code using Atmel Studio or similar IDE.

Preferred:
- Experience with developing closed loop control systems using ARM-based controllers.
- Prior experience with NASA programs or similar (development through flight) desired.

Education:
- BS/BA degree in Computer Engineering, Computer Science or equivalent demonstrated experience required.
 
Job Number: 10072
Job Location: Windsor Locks, CT
Rate: $45.25/HR
Per Diem: No
Overtime: Possible
Duration: 2 yr
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Software Engineer

Location: Palmdale, CA
Pay Rate: $69.13/hr - $85/hr
Duration: 10 month contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**
**MUST have an ACTIVE DoD SECRET CLEARANCE**


Job Description:
-Active DoD Secret clearance required
-Bachelors degree required
-Embedded Systems: 2 - 4 Years experience required
-C:4 - 6 Years experience required
C++ - 4 - 6 Years experience required
Linux: 2 - 4 Years experience desired
Embedded: 2 - 4 Years experience required

Applicant will be the software architect responsible for embedded software definition, design, development and integration to support real-time embedded avionics software projects. The applicant will be responsible for designing, coding, testing and integrating software. Contribute to software requirements, design, code, reviews, and test of operational flight programs. Modeling and simulation efforts. Corrects program errors, prepares operating instructions, compiles documentation of program development, and analyzes system capabilities to resolve questions of program intent, output requirements, input data acquisition, programming techniques, and controls. Good oral and written communication skills required as is the ability to flex and adapt in a fast-paced team environment. Good presentation skills.
 
Job Number: 10030
Job Location: Palmdale, CA
Rate: 69.13
Per Diem: Split Possible
Overtime: Possible
Duration: 10 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer - C#/Test Stand (784)

Description:
**Requires Interim Secret Clearance, must be US Citizen to apply**



CSS Staffing is seeking a Software Engineer to implement test stand software in C# on a Linux platform for a military product.



Required Skills

*Demonstrated software development experience with C# in a Linux development environment.

*Experience with TCP/IP sockets, Databases, Jenkins, and Git

*Four-year degree in Computer Science, Engineering or other relevant technical degree.



Desired Skills

*Comfortable working with hardware.

*Test Stand software development experience is highly desired

*MongoDB experience

*DoD Active Secret Clearance a plus
 
Job Number: 784
Job Location: Merrimack, NH
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Shay Rosen
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer - Clearance CA

Description:
Software Engineer
Location: Palmdale, CA
Status: Open

Qty: 1
Desired Start Date: 11/2/2018
End Date: 8/30/2019

Job Description:
Applicant will be the software architect responsible for embedded software definition, design, development and integration to support real-time embedded avionics software projects. The applicant will be responsible for designing, coding, testing and integrating software. Develop, analyze, integrate, and test embedded software for the Systems Integration team. Contribute to software requirements, design, code, reviews, and test of operational flight programs. Modeling and simulation efforts. Corrects program errors, prepares operating instructions, compiles documentation of program development, and analyzes system capabilities to resolve questions of program intent, output requirements, input data acquisition, programming techniques, and controls. Good oral and written communication skills required as is the ability to flex and adapt in a fast-paced team environment. Good presentation skills.

Region: Palmdale, CA
Level: Level 3: BS+6-10 YRS or MS+4-8 YRS
Pay Rate: $30.00 - $71.00 Hourly
 
Job Number: JE-1230-1S5
Job Location: Palmdale, CA
Rate: 71/hr max
Per Diem: no
Duration: 9 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer - Lead/Manager

Description:
NESCO Resource is currently partnering on filling a direct-hire role in Muskego, WI. We are searching for a unique blend of individual who is interested in leading and managing a team while still being hands-on with development in JAVA, Node.JS, HTML, and CSS. The individual filling this role will be passionate about developing quality solutions within a small team environment. Your ability to mentor and guide team members will shine!



Skills:

Core JAVA

Node.JS

HTML

CSS



Previous lead or managerial experience is strongly desired.



Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.


 
Job Number: 18-48245
Job Location: Meskego, WI
Rate: 80000.0USD/Year
Per Diem: --
Overtime: --
Duration: Direct
Start Date: 2018-11-13 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Jacob Vana
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer III

Description:
Role Summary/Purpose Join a dynamic and motivated software team focused on developing Mobile Digital Health products. Responsibilities include designing and implementing software solutions for viewing, storing, and transmitting patient physiological data in the Connectivity Solutions segment. This position will support new feature development focusing on the visual elements of the application, as well as, back end service development. The qualified candidate will have experience with real-time and non-real time software.

Essential Responsibilities



" Design and implement software for Mobile Digital Health products

" Work across the entire software development lifecycle including high-level detailed design, software implementation, verification (automated and manual), and maintenance activities

" Author software requirements and design specifications

" Full stack development of front end features and back end service updates.

" Develop real-time and non-real-time software

" Develop and execute unit, integration, and system tests to verify the design and implementation

" Apply technical expertise and oversight to ensure quality of design and implementation including compliance to Quality Management System

" Collaborate with project team members using the Agile Scrum methodology

" Mentor other engineers on the team to develop high quality software (includes the use of static analysis tools, design reviews, code reviews)

" Provide technical decision-making and guidance for the scrum team

" Provide technical leadership, driving and performing engineering best practices to initiate, plan, and execute large-scale, cross-functional, and company-wide critical programs.

" Support the team's effort in continuous improvement



Qualifications/Requirements " Bachelor's Degree in Electrical Engineering, Computer Engineering, Computer Science or related computer degree

" Minimum of 5 years of experience in object-oriented design, implementation, and verification

" Minimum of 3 years programming in Java

" Minimum of 2 years designing web frontend applications or web technology-based mobile applications such as JavaScript, Typescript, HTML5 and CSS.

" Minimum of 2 years using advanced JavaScript frameworks such as Angular, AngularJS, React, Backbone and design patterns such as Flux/Redux.

" Minimum of 1 years of experience with test and behavior driven testing frameworks and methodologies

" Minimum of 1 years' experience working with Agile methodologies

" Strong knowledge of software development tools (IDE, Version Control, etc.)

" Strong knowledge of software development life cycle and development environments

" Excellent written and verbal communication skills for presenting, discussing, and documenting technical information

" You must be willing to work in our Milwaukee, WI facility full-time

" You must be willing to take a drug test as part of the selection process

" You must be willing to submit to a background investigation, including for example, verification of your past employment, criminal history, and educational background

" You must be legally authorized to work in the United States full-time

" You must submit your resume through gecareers.com, COS (if internal) or a job board to be considered for a specific job opening

" You must be 18 years of age or older


Desired Characteristics " Masters Degree in Electrical Engineering, Computer Engineering, Computer Science, or related computer field

" 3+ years of experience working in the regulated medical device industry

" Automated design verification experience

" Experience with service-oriented architectures and microservices.

" Experience with Docker and Docker containers

" Experience using Spring Boot

" Experience with implementing RESTful web services.

" Experience leading design reviews for large system software designs

" Experience with hybrid-native technologies such as Electron (aka Atom Shell) or Ionic

" Experience with multi-threaded, concurrent systems

" Demonstrated effective problem solving capabilities

" Demonstrated ability to work effectively within and across various teams

" Ability to develop in a Linux-based environment.

" Ability to influence cross functional decision makers

" Strategic planner and thinker with ability to drive change

" Self-starter, energizing, results-oriented, and able to multi-task; tenacious and organized

" Adaptable/Flexible: being open to change in response to new information, different or unexpected circumstances, and having the ability to navigate ambiguous situations

" Clear thinking: simplifying strategy into specific actions with clear accountability, making decisions with speed and accuracy based on best available information, and communicating priorities clearly and concisely






Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.


 
Job Number: 18-42232
Job Location: Milwaukee, WI
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2019-04-30 00:00:00.0
Start Date: 2018-11-05 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Jacob Vana
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer IV

Description:
Embedded Software Engineer Level 4
Duration: 12 Months
Location: Orlando, FL (32819)
*Must be a US Citizen and either have an ACTIVE SECRET CLEARANCE or THE ABILITY TO OBTAIN

Description:
Our client is looking for a Software Engineer to develop and maintain real-time embedded software applications.
Typical applications are software products related to image processing, target detection and tracking, missile guidance/ navigation/ control algorithms, missile launchers, system control, built-in test, and system communication.
Development will be in C and C++ hosted on either a PC or Unix environment and targeted for an embedded processor running either VxWorks or Integrity as the real-time operating system.
Familiarity with standard communication busses and protocols including RS-232, RS-422, MIL-STD-1553 and Ethernet is helpful but not required.
The candidate will have experience throughout the entire software development lifecycle including architecture, design, code implementation, and integration and test.
Experienced in technical task leadership and/or be a subject matter expert in an area relevant to company products described in the above paragraph.
A strong background in real-time embedded software development along with demonstrated technical leadership.
Will be familiar with using source code control and issue tracking tools along with using modern test tools to do unit test and static analysis.
An ideal candidate will be able to configure and manage a continuous test environment.
Bonus skills would be familiarity with Agile development, Cyber Security, Department of Defense products, board support package and device driver development.
Skill/Experience/Education
Mandatory:
Experience with embedded real-time development in C and C++
Experience in software architecture, design, coding, unit test, integration, and verification RTOS experience with VxWorks, Integrity, or Linux Experience with Hardware-Software integration
Excellent communication skills.
MUST BE US CITIZEN
Desired:
Bachelor's Degree in Computer Engineering or Computer Science.
Experience generating and maintaining program software documentation such as SRS, ICD, Test Plans, Test Procedures Experience with software configuration management tools such as Razor, AccuRev/Micro Focus, Git, JIRA, SVN(Subversion)
Familiarity with Visual Studio (i.e., Visual C++)

____________________________________________________________________
Butler America Aerospace, LLC. is proud to provide an equal opportunity workplace and be an affirmative action employer. Consider Butler because we are committed to hiring and retaining a diverse workforce. We recognize that we thrive on diversity for the benefit of our employees, our customers, and the communities where we are located. Employment with Butler America Aerospace, LLC. is governed on the basis of qualifications and competence without regard to race, color, religion, gender, national origin, ethnicity, veteran status, disability status, age, sexual orientation, gender identity, gender expression, marital status, mental or physical disability or any other legally protected status.
 
Job Number: 55449
Job Location: Orlando, FL
Rate: Open
Per Diem: Split
Overtime: Yes
Duration: LT
Start Date: 02/18/2019
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Suzanne Schmidt
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer Level 1

Description:
Title: Software Engineer Level 1
Location: Littleton, CO
Rate:$39.00/hr.
1 year contract

Description
***Looking for candidates that have ROS (Robotic Operating System) experience***
Plans, conducts, and coordinates software development activities. Designs, develops, documents, tests, and debugs software that contains logical and mathematical solutions to business/mission problems or questions in computer language for solutions by means of data processing equipment. Applies the appropriate standards, processes, procedures, and tools throughout the development life cycle. Applies knowledge of computer hardware and software, subject matter to be programmed in business/mission applications, information processing techniques used, and information gathered from system users to develop software. Corrects program errors, prepares operating instructions, compiles documentation of program development, and analyzes system capabilities to resolve questions of program intent, output requirements, input data acquisition, programming techniques, and controls. Ensures software standards are met.


Mandatory
• BS Degree in engineering, applied mathematics, physics, robotic systems, artificial intelligence or closely related technical field and 2 years of professional level career experience.
• Experience implementing GV autonomy on live vehicles; - ROS; - C++; - LINUX.
• Able to design, write, test, and demonstrate effective autonomy modules with little need for advice and guidance.
• Able to interpret and quickly parse legacy code, determine the expected behaviour, figure out problem areas, and implement a solution to fix that code.
 
Job Number: 10076
Job Location: Littleton, CO
Rate: 39
Per Diem: Split Possible
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer Level 2

Description:
Title: Software Engineer Level 2
Work Location: Littleton, CO
Rate: $56.56/hr.
1 year contract

Job Description:
***Looking for candidates that have ROS (Robotic Operating System) experience***
Plans, conducts, and coordinates software development activities. Designs, develops, documents, tests, and debugs software that contains logical and mathematical solutions to business/mission problems or questions in computer language for solutions by means of data processing equipment. Applies the appropriate standards, processes, procedures, and tools throughout the development life cycle. Applies knowledge of computer hardware and software, subject matter to be programmed in business/mission applications, information processing techniques used, and information gathered from system users to develop software. Corrects program errors, prepares operating instructions, compiles documentation of program development, and analyzes system capabilities to resolve questions of program intent, output requirements, input data acquisition, programming techniques, and controls. Ensures software standards are met.


Mandatory Skills:
• BS Degree in engineering, applied mathematics, physics, robotic systems, artificial intelligence or closely related technical field and 2 years of professional level career experience.
• C++, Python, Mission Planning, Ground vehicle testing, ROS experience, Design, implementation and integration of C++ software running in Linux OS on embedded multi-core XEON processors.
• Interface development with other subsystems and sensors. Implementation of autonomy algorithms.

Desired Skills:
Comfortable working in an Agile Scrum environment.
 
Job Number: 10074
Job Location: Littleton, CO
Rate: 56.56
Per Diem: Split Possible
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer Level 3

Description:
Title: Software Engineer Level 3
Location: Littleton, CO
Rate: $70.60/hr
1 year contract

Description
**** Looking for candidates that have ROS (Robotic Operating System) experience****
Plans, conducts and coordinates software development activities. Designs, develops, documents, tests and debugs software that contains logical and mathematical solutions to business/mission problems or questions in computer language for solutions by means of data processing equipment. Applies the appropriate standards, processes, procedures and tools throughout the development life cycle. Applies knowledge of computer hardware and software, subject matter to be programmed in business/mission applications, information processing techniques used, and information gathered from system users to develop software. Corrects program errors. prepares operation instructions, compiles documentation of program development, and analyzes system capabilities to resolve questions of program intent, output requirements, input data acquisition, programming techniques, and controls. Ensures software standards are met.

Mandatory
BS degree in engineering, applied mathematics, physics, robotic systems, artificial intelligence or closely related technical field. C++, Controls Python, SW Testing, Ground Vehicle autonomy, ROS experience, Simulink model based software development, simulation/validation of controls system.

Desired
Comfortable working in an Agile Scrum environment.
 
Job Number: 10077
Job Location: Littleton, CO
Rate: 70.60
Per Diem: Split Possible
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer(Real-time-Active secret clearnce)

Description:
Job Description:
• Our Client is looking for software engineers to use their expertise to dream up next-generation software capabilities for some amazing aerospace platforms.
• To develop cutting edge sensors and mission systems, high bandwidth communication systems, large-scale simulation systems, airplane and weapons flight controls, cybersecurity solutions and much more.
• You can also develop modeling, simulation, testing, and analysis tools using a multitude of software languages including C++, C, C#, Python, MatLab and Ada.
Basic Qualifications (Required Skills and Experience):
• Active Secret U.S. Security Clearance that has been investigated in the past 6 years
• Equivalent of 6-7+ years of experience with embedded real-time software development
• Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.

Desired Experience, Skills, and Qualifications:
• Equivalent of 6-7+ years of experience in Mission Systems software development
• Experience working in Agile software development framework.
 
Job Number: 201811
Job Location: St.Louis, MO
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 12 Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Quality Engineer 2 - Clearance CA

Description:
163709 Software Quality Engineer 2 (Job Number: 18023093)

Number of Openings: 3

Location(s): United States-California-San Diego


Shift: Multiple

Target Start Date: Nov 5, 2018

Target End Date: Oct 17, 2019

Targets: 3 Openings

Location: Rancho Bernardo, CA

Pay rate: $41.00 - $45.00

Work Schedule: Must be flexible 1st, 2nd, or 3rd shift

Travel: 25%

The Software Quality Engineer will be responsible for ensuring product and process quality with the software programming skills necessary to team and collaborate closely with systems, software, and test engineers to participate and provide technical oversight, comments, and solution alternatives throughout requirements analysis, design, code, and test.


In this role, the selected candidate will be expected to:
•Participate in bid and proposal efforts to provide cost estimates.
•Review customer, system and software requirements to ensure the flow down of requirements is complete and unambiguous.
•Review and verify design documents meet appropriate standards.
•Review source code and provide technical feedback and solutions alternatives.
•Participate in contractual reviews and audits, program/IPT meetings, and configuration control board meetings.
•Perform process audits of software development processes to assure compliance to established policies, procedures, work instructions, and program specific plans.
•Examine the products associated with the preparation and configuration control of software test environments to assess the team’s readiness to enter formal testing.
•Witness execution of software qualification and system acceptance tests to ensure tests are executed in accordance with approved test procedures, requirements are fully verified, and that all test procedure and software anomalies are documented and tracked to closure.
•Evaluate and ensure software suppliers possess the capability and capacity necessary to execute and deliver procured software with unquestionable quality.
•Facilitate thorough root cause analysis on major findings and ensure appropriate corrective and preventive actions are developed and implemented in a timely manner.
•Conduct data analysis, data integration, and data management


Basic Qualifications:
•Bachelor’s degree in Computer Science, Software Engineering, Computer Information Systems, or related discipline from an accredited institution
•Minimum 3 years of experience in Software Quality, Software Engineering or related discipline


Preferred Qualifications:

•Master’s Degree
•Proficient in computer programming language(s) (e.g. C,C++, C#, Java, Java Script, etc.)
•Strong analytical and problem solving skills
•Outstanding communication and collaboration skills
•Experience in audits of engineering processes
•Experience in software supplier management (i.e.,supplier audits)
 
Job Number: MB-1699S6
Job Location: San Diego, CA
Rate: 41-45/hr DOE
Per Diem: no
Duration: 12 months
Start Date: Must be flexible 1st, 2nd, or 3rd shift
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sourcer/Recruiter TX

Description:
163093
Sourcer/Recruiter
Location: Fort Worth, TX
Status: Open

Request: Technical/Professional - Sourcer/Recruiter
Qty: 1
Desired Start Date: 10/16/2018
End Date: 10/21/2019

The hiring manager is looking for someone with high volume, aviation/aerospace full cycle recruiting.

Job Description:
Manages the strategic sourcing, recruiting, and local employment branding and marketing campaigns for Aeronautics' Company. Tracks success of branding, marketing, and sourcing efforts to demonstrate ROI and drive investment decisions. Drives brand awareness and the candidate value proposition for Aeronautics' Company to reinforce Lockheed Martin as the employer of choice. Performs sourcing for positions for which it has typically been difficult to recruit diverse talent or that require highly unique capabilities. Delivers available, diverse, qualified sourcing pools for recruiters to screen talent and prepare slates. Executes recruitment branding, marketing and outreach, and social media strategies through collaboration and partnership with stakeholders in Talent Acquisition, Talent & Organizational Capability, Communications and Community Relations, Corporate Talent Acquisition media and marketing, Workforce Strategy, Diversity and Inclusion, and Compensation, as well as relevant external organizations (e.g., vendors, community partnerships). Applies industry best practices and studies other organizations’ sourcing, branding, and marketing practices to continually strengthen the strategy and execution for Aeronautics. Utilizes Microsoft Office (Word, Excel, PowerPoint, Visio, Project) and other software tools/ solutions as needed. Performs other duties as assigned.

Strong recruiting and sourcing background preferably in Aerospace. Knowledge of OFCCP a plus.

Region: Fort Worth, TX
Level: Level 2: BS+4-8 YRS or MS+2-6 YRS
 
Job Number: JE-1190-1
Job Location: Fort Worth, TX
Rate: 36/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sourcer/Recruiter TX

Description:
163093 Sourcer/Recruiter

Location: Fort Worth, TX
Status: Open
Rate: 36/hr max

Qty: 1
Desired Start Date: 9/24/2018
End Date: 5/5/2019

Job Description:
Manages the sourcing for college and intern recruitment at Lockheed Martin’s Aeronautics' Company. Tracks success of sourcing efforts to demonstrate ROI and drive investment decisions. Drives brand awareness and the candidate value proposition for Aeronautics' Company to reinforce Lockheed Martin as the employer of choice. Applies industry best practices and studies other organizations’ sourcing, branding, and marketing practices to continually strengthen the strategy and execution for Aeronautics. Utilizes Microsoft Office (Word, Excel, PowerPoint, Visio, Project) and other software tools/ solutions as needed. Performs other duties as assigned.

Looking for someone with college recruitment/sourcing experience.

Level: Level 2: BS+4-8 YRS or MS+2-6 YRS
 
Job Number: JE-1174-1
Job Location: Fort Worth, TX
Rate: 36/hr max
Per Diem: no
Duration: 8 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sourcer/Recruiter – Level 2

Description:
Sourcer/Recruiter – Level 2

Location: Fort Worth, TX
Pay Rate: $37.14/hr
Duration: 1 year contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**

Job Description:
Manages the strategic sourcing, recruiting, and local employment branding and marketing campaigns for Company. Tracks success of branding, marketing, and sourcing efforts to demonstrate ROI and drive investment decisions. Drives brand awareness and the candidate value proposition for Aeronautics' Company to reinforce Lockheed Martin as the employer of choice. Performs sourcing for positions for which it has typically been difficult to recruit diverse talent or that require highly unique capabilities. Delivers available, diverse, qualified sourcing pools for recruiters to screen talent and prepare slates. Executes recruitment branding, marketing and outreach, and social media strategies through collaboration and partnership with stakeholders in Talent Acquisition, Talent & Organizational Capability, Communications and Community Relations, Corporate Talent Acquisition media and marketing, Workforce Strategy, Diversity and Inclusion, and Compensation, as well as relevant external organizations (e.g., vendors, community partnerships). Applies industry best practices and studies other organizations’ sourcing, branding, and marketing practices to continually strengthen the strategy and execution for Aeronautics. Utilizes Microsoft Office (Word, Excel, PowerPoint, Visio, Project) and other software tools/ solutions as needed. Performs other duties as assigned.

Additional:
-Bachelors required
-Strong recruiting and sourcing background preferably in Aerospace.
-Knowledge of OFCCP a plus.
 
Job Number: 9970
Job Location: Fort Worth, TX
Rate: 37.14
Per Diem: Split Possible
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr Technical Illustrator

Description:
Sr. Technical Illustrator prepare, construct, and revise of Orthographic illustrations, Axonometric projections, Wiring and Schematic diagrams for technical aircraft manuals and other related graphical publications utilizing electronic methodology. Must create and manipulate graphics and using MicroStation and CADAM technical illustrating system. Must be functionally literate with 3-dimensional graphics, surfaces, wiring frames, and solids. Experience with EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired


Sr. Technical Illustrator prepares, construct and revise MicroStation data (3-D, and 2-D data). 2. Prepare, construct, and revise orthographic illustrations, axonometric projections, and Wiring/Schematic diagrams on MicroStation. 3. Determine optimum graphic approach; independently prepare master artwork, charts, visual, booklets, and signs, working with all aspects of graphic arts production by utilizing electronic illustrating equipment. 4. Work with engineers, technical writers, customers, vendors, and suppliers to effectively visualize and conceptualize graphic design problems to include technical as well as non-technical graphics, color separations, overlays, and comprehensive type requirements. 5. Ensure illustrations conform to corporate, ATA 100, FAA, and commercial aircraft technical manual specifications and company style requirements. 6. Maintain up-to-date knowledge of artwork techniques, materials, and equipment. 7. Experience working with equipment related to and associated with electronic illustrating tools, including: High-resolution scanners, PCs and related illustration software, printers, general knowledge of electronic publishing systems, related printers and data requirements. Perform other duties as assigned.

 Sr. Technical Illustrator has the ability to read and interpret wiring schematics, blueprints and engineering orders. 2. Background familiarity with CADAM, Catia, and CCD. Mainframe user level operations, networks, and scanners. SmarTeam experience a plus. 3. Knowledge of import/export of data files such as: (.dxf, tiff, .cgm, and iges) and electronic publishing are desirable qualities. 4. Knowledge of 3-D modeling and animation. 5. Working knowledge of Right Hemisphere software. 6. Video, motion or animation graphics experience a plus.

Bachelor's Degree required or equivalent combination of education and experience. 4 years exp in electronic technical illustrating. Ability to operate Micro-Station, Adobe Illustrator and other Adobe illustration software.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr Technical Writer

Description:
Sr Technical Writer is responsible (either individually or with other team members) for the writing of major portions of technical publications. Supports the complete workflow process to ensure successful completion of each revision cycle and distribution of technical publications. Complies with established quality processes to ensure accuracy, ease of use and timely delivery of each revision cycle content.


Sr. Technical Writer writes technical publications content as directed. 2. Conduct reviews and analyze technical documents to gain a thorough understanding of how complex systems operate and how they are to be integrated into technical manuals to provide the end user with the level of text and graphics to support the efficient return to service of the aircraft system. 3. Consult with internal, external customers and Engineering to create/revise technical manuals to support the department/corporate operating priorities. 4. Provide input to management on new processes or technology for integration into the technical publications business model. 5. Assist in developing solutions for overcoming the technology/technical issues introduced by outside suppliers for incorporation into existing manuals. 6. Work with management, other departments, and team members in the development of specific project data. 7. Provide liaison with Completion Center, Technical Operations, Production and/or Completion Engineering, Service Center to obtain the necessary approval for technical information prior to its release to customers. 8. Participate with publications team to ensure all internal department workflows and business processes are followed for issues relating to producing and delivering technical publications and associated Computerized Maintenance Program (CMP) data. 9. Work with internal/external customers in the development of specific project requirements of source data to ensure a seamless incorporation of new data in the publications environment. Perform other duties as assigned.

Sr Technical Writer has at least three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications. Strong technical writing and communication skills are required. Ability to read and interpret blueprint and Engineering Orders. 4. Must have a thorough knowledge of aircraft tools, test equipment, vendor coding, parts nomenclature, maintenance procedures, and of aircraft equipment and maintenance. EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired

Bachelor's Degree or equivalent experience in the authoring of technical publications required. Four (4) years Technical Publications writing experience. Three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications experience required.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Software Engineer

Description:
QUALIFICATIONS:

1. Bachelor Degree in Engineering, Computer Science or a closely related discipline to be determined by business needs or equivalent technical experience.

2. 5-8 years related systems or engineering experience, or skills commensurate with 5-8 years systems or engineering experience.



Principal Duties:

1. Creates and develops information product or operations concepts as required by specifications. Oversees a major project, system or several smaller projects or systems ensuring completion within time and budgetary constraints. Develops, designs, plans, and implements, processes, systems and procedures utilizing standard engineering or technology principles to complete assignments. Uses judgment in making adaptations or modifications.

2. Plans, schedules, and controls development projects or systems to meet established objectives for quality, reliability and performance. Ensures the effective utilization of resources; human, material, and facility.

3. Analyzes the impact of changes on existing information products or systems. Assesses the compatibility with goals in all areas. Analyzes cost effectiveness and feasibility.

4. Analyzes and resolves problems and provides technical assistance as is necessary. Implements corrective actions.

5. Perform tradeoff between implementation, schedule and cost and resource constraints, and recommend alternatives. Provide technical feedback, inputs and consultation to other organizations involved in system design or implementation activities. Participate in technology transfer initiatives among IT departments, R&D, and Product Engineering.

6. Develops policies, procedures and objectives for management review. Upon approval, implements changes.

7. Directs less experienced engineers and/or technologists in investigation, data gathering and analysis. Makes work assignments, checks output, and provides instruction and training in the application of sound engineering practices.



Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.
 
Job Number: 18-47843
Job Location: Milwaukee, WI
Rate: 65.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2019-12-09 00:00:00.0
Start Date: 2018-12-05 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Katie Zajkowski
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Systems Engineer

Description:
Our client is looking for a Sr. Systems Engineer in Slidell, Louisiana! Apply today if you have the skills!

The ideal candidate for this position will perform the following tasks:
* Apply systems engineering methodology, tools and techniques to support the design, manufacture and test of Tactical Vehicles.
* Provide systems engineering support for vehicle development to include Human Factors, Reliability, Requirements Development, System Safety, and Test.
* Develop systems engineering analysis, reports and associated documentation required for contract deliverables.
* Perform analyses such as Failure Mode, Effects and Criticality Analysis (FMECA), Fault Tree Analysis (FTA), and Reliability Block Diagrams (RBDs) using Word, Windchill or equivalent tools.
* Perform hazard analysis for hardware and ancillary support equipment along with appropriate testing requirements.
* Review specifications, engineering drawings and technical documentation for Systems Engineering impact.
* Develop test plans, procedures and reports.
* Develop and decompose system and sub-system level requirements.
* Support system integration and test activities including reliability and durability testing.
* Participate in Integrated Product Teams (IPT) to ensure appropriate Systems Engineering practices are applied.
* Perform other duties as assigned by management.

Mandatory Qualifications and Experience:
* Bachelor’s Degree in Math, Physics, Engineering or related discipline is required.
* 5 plus years of Systems Engineering experience in one or more specialty
* Knowledge with US Army or equivalent armored ground and/or amphibious tactical vehicles
* Experience with commercial automotive or DoD’s Systems Engineering requirements and approach
* Experience with Mil-STD-882 and Mil-STD-1472 is required
* Working knowledge of Systems Engineering techniques to include FMEA, FMECA, FTA, RBD, Hazard Analysis and Human Error analysis

Desired Qualifications and Experience:
* Master Degree in Mechanical or Systems engineering preferred.
* Experience with Windchill, DOORS or equivalent software is a plus
* Experience with SolidWorks or equivalent CAD software is a plus
* Experience with Logistics and 1388-2B is a plus

The ideal candidate for this position will possess the following skills and characteristics:
* Excellent communication, technical writing and presentation skills are essential

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129220
Job Location: New Orleans, LA
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Systems Engineer

Description:
Sr Systems Engineer 2
Location: Cedar Rapids, IA
6 month contract

Job Responsibilities:
•Performing all phases of the system development lifecycle including requirements capture, system design and modeling, integrating with hardware and other software components, system validation and verification.
•Working with external customers to document operational scenarios, develop system requirements, define system interfaces and system validation testing.
•Working with other systems engineers and other engineering disciplines to document derived requirements, define internal system interfaces, develop system architectures, integrate system components, and perform system verification.


Basic Qualifications include 7-10 years experience with:
•BS Degree
•Developing hardware, software or firmware for high-assurance, embedded systems, or systems design experience with such applications.
•Requirements capture, flow-down, validation and verification.
•Requirements management tools such as DOORs.
•Test development using scripting languages such as Python.
•Standard Lab equipment such as Ethernet network analyzers, digital databus analyzers and oscilloscopes.


Preferred, but not required, experience/skills with the following:
•Experience with safety-critical, real-time, embedded system development is highly desirable.
•Experience with avionics or other aircraft system development and certification.
•ALM suite of tools (JAMA, JIRA, Confluence, Bamboo, etc.)
•Experience developing systems that are compliant with ARP-4754, RTCA DO-178, DO-160 and DO-254.
•Experience with ARINC standards ARINC 429, ARINC 664 and ARINC 661.
•Model-based development experience.



____________________________________________________________________

Butler America Aerospace, LLC. is proud to provide an equal opportunity workplace and be an affirmative action employer. Consider Butler because we are committed to hiring and retaining a diverse workforce. We recognize that we thrive on diversity for the benefit of our employees, our customers, and the communities where we are located. Employment with Butler America Aerospace, LLC. is governed on the basis of qualifications and competence without regard to race, color, religion, gender, national origin, ethnicity, veteran status, disability status, age, sexual orientation, gender identity, gender expression, marital status, mental or physical disability or any other legally protected status.
 
Job Number: 55241
Job Location: Cedar Rapids, IA
Rate: Open
Per Diem: Possible
Overtime: No
Duration: 6+ months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Laura Leszek
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Stress Analyst

Description:
Location: Palmdale, CA
Rate: $80.00 - $110.00/HR
Duration: 1 year contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****
****MUST have Bachelor's Degree****
****MUST have an Active Secret Clearance or to have had one within the last 2 years****

Requirements:
- This position requires an Aircraft Stress Analyst to use their technical expertise to perform analysis of aircraft primary and secondary structure.
- The candidate will have a complete understanding of technical principles, theories and concepts in the field of aircraft structural analysis.
- The candidate will be expected to work independently with only general oversight and will be required to provide guidance to junior engineers.
- The candidate will be responsible for documenting work clearly and concisely.
- The candidate will also be expected to use their technical expertise to develop structural analysis methods and perform structural analysis of advanced composite structures.

Education:
- B.S. Degree +16 YRS of experience or M.S. Degree +14 YRS of experience.
- Candidate must have working knowledge/experience with FEM software (Nastran or Abaqus), Computer Aided Design software (CATIA V5), and other pre/post processor software (Slim, Vision, etc.).
 
Job Number: 9732
Job Location: Palmdale, CA
Rate: $80.00 - $110.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Struct & Payload Design Engr 5

Description:
Struct & Payload Design Engr 5

Location: Huntington Beach, CA
Duration: 6 Months

Job Description:
Provides oversight and direction to develop, integrate and document structural and interior payload system requirements to establish the system design. Leads development, maintenance and modification of structural and interior payload system and component designs, using 3-D Computer Aided Design tools and/or other design methods, to provide product definition to other engineering groups, production operations, suppliers and external customers throughout the product lifecycle. Leads performance, integration and analysis of tests to validate and verify systems and components meet requirements and specifications. Manages supplier development, test and production activities and coordinates with the supplier to optimize the design and achieve program goals. Develops new design/analysis processes and tools to improve the effectiveness, quality and efficiency of the development effort. Investigates emerging technologies to develop concepts for future product designs to meet projected requirements. Works under consultative direction.

Education / Experience:
Bachelor's degree with 14 or more years' experience, Master's degreee with 12 or more years' experience or PhD with 9 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.

Skills:
Category Name Required Level
Software Skills Unigraphics Yes Intermediate

Skill Code: 647-Structural Design
 
Job Number: 18-19747
Job Location: Huntington Beach, CA
Per Diem: split
Overtime: possible
Duration: 6 months
Start Date: 12/14/18
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Constance Whitcomb
Address: 859 STEPHENSON HWY
City, State: TROY, MI 48083
Phone: 843/955-9221
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Structural Analysis-Stress/DADT/Dynamics/FEA/FEM - Clearance CA

Description:
162964
1151-1 Engineering - Structural Analysis-Stress/DADT/Dynamics/FEA/FEM
Work Location: Palmdale, CA
Status: Open

Qty: 7
Desired Start Date: 12/18/2018
End Date: 12/7/2019

Job Description:
This position requires an Aircraft Stress Analyst to use their technical expertise to perform analysis of aircraft primary and secondary structure. The candidate will have a complete understanding of technical principles, theories and concepts in the field of aircraft structural analysis. The candidate will be expected to work independently with only general oversight and will be required to provide guidance to junior engineers. The candidate will be responsible for documenting work clearly and concisely.

The candidate will also be expected to use their technical expertise to develop structural analysis methods and perform structural analysis of advanced composite structures. Candidate must have working knowledge/experience with FEM software (Nastran or Abaqus), Computer Aided Design software (CATIA V5), and other pre/post processor software (Slim, Vision, etc.).

Work Location: Palmdale, CA
Level: Level 4: BS+16 YRS or MS+14 YRS
Pay Rate: $71.98 Hourly

Skills:
Software Skills Abaqus No 9 6 + Years
Software Skills Finite Element Analysis (FEA) No 9 6 + Years
Software Skills Nastran No 9 6 + Years
Technical Skills Stress Analysis Yes 9 6 + Years
 
Job Number: JE-1151-1S5
Job Location: Palmdale, CA
Rate: 71.98/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
CFM Product Line Engineer

Description:
Our client is looking for a CFM Product Line Engineer to work in Tulsa, Oklahoma! Apply today with your most recent resume and if you meet the qualifications a recruiter will be in contact with you!

The ideal candidate for this position will perform the following tasks:
* Provides technical leadership and support in the planning, implementation, of the Gas Turbine activities (Maintenance, Repair and Overhaul) within the FAA Repair Station.
* Provides effective support for engine repair solutions, resolution of engine performance issues, development of best processes, lean procedures, and cost containment; this position requires the application of complex skills including a solid knowledge of engineering principles, related theories, and industry practices.
* Requires good written, verbal & interpersonal skills, as well as demonstrated strong use of analytical skills.
* Reviews process activities for compliance with engineering principles, company standards, customer contract requirements, and related specifications.
* Coordinates activities concerned with technical developments, scheduling, and resolving engineering design and test problems including preparation of interim and final reports.
* Accountable for planning function to include the issuance of job cards.

Mandatory Qualifications and Experience:
* Bachelor's Degree in Science or Engineering with 3-5 years experience in Aerospace preferred.
* Working knowledge of gas turbine engines.
* Familiar with standard concepts, practices and procedures related to gas turbine repairs
* Familiar with FAA Certification issues, i.e. airworthiness directives, service bulletins and Federal Aviation Regulations.
* Must be able to work in a fast-paced and flexible environment.
* Strong computer skills in Microsoft Office.

The ideal candidate for this position will possess the following skills and characteristics:
* Problem analysis and problem resolution.
* Good interpersonal and communication skills.
* Strong team player.
* Commitment to company values and ethics.

Physical/Work Environment:
* While performing duties of job, employee is occasionally required to stand; walk; sit; use hands to finger, handle, or feel objects, tools, or controls: reach with hands and arms; talk and hear.
* Employee must occasionally lift and/or move.
* Specific vision abilities required by the job include close vision, distance vision, color vision, peripheral vision, depth perception, and the ability to adjust focus.
* May be exposed to hazardous chemicals.
* Work around machinery with moving parts.
* Specialized PPE may be required.
* The noise level in the work environment is typically moderate.

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129499
Job Location: Tulsa, OK
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
System Engineer with DOORS & RPE (398)

Description:
*This is a full time, 1 year contract position, located on site in Grande Prairie, TX*



We are hiring for a contract Systems Engineer who will be working on DoD sophisticated missile systems. Candidate will be working daily with DOORS and developing and analyzing system requirements including integration, test and verification. As is part of any systems engineering position, applicant will work on requirements decomposition, traceability, technical trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.



Required:
*5 years’ experience in system engineering and requirements analysis/development using DOORS

*Familiar with RPE (Rational Publishing Engine)

*Military aircraft/avionics experience.
*Experience in all phases of system engineering including functional/physical architecture, test planning, documentation, execution, reporting, briefings, troubleshooting conducting engineering Review Boards, etc.
*4 year engineering degree



Plus:

*Military aircraft/avionics experience, particularly missiles.

*Documentation of system design via block diagrams, product structure and technical; capturing and documenting interfaces system/subsystems.

*Experience in system analysis and software requirements decomposition.

*Integrating hardware to a system.
 
Job Number: 398
Job Location: Grande Prairie, TX
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer

Description:
Our client is seeking a motivated systems engineer to assist in incremental design, development and test of Unmanned Aerial Vehicle (UAV) ground control systems. The role includes supporting a team with the development of requirements, architecture, design, integration, verification & validation of the ground control system. The candidate should be knowledgeable of the Systems Engineering life-cycle and have experience working collaboratively in small teams.

Responsibilities will include:
* Assists with assessment of system specifications and development or elicitation of requirements
* Support projects through design, integration, testing, and delivery support
* Apply communication, analytic and problem-solving skills to identify, communicate and resolve issues
* Support the integration of software, hardware and Components off the Shelf (COTS)
* Support testing of the system and execute customer selloff
* Development test methods, implementing automating testing and scripting when possible
* Supports the update process for operations manuals, work instructions, tools, and scripts
* Contributes to a team of systems engineers in accomplishment of engineering tasks in an iterative development environment
* Attends technical interchange meetings with the team members and other program personnel
* Provides status on assigned tasks

Required Skills:
* Solid understanding in engineering of System of Systems
* Experience with a requirements management tool
* Automated test script development
* Must exhibit good time management skills and self-motivation; focus on customer service
* Possess excellent oral and written communication skills and sound problem solving skills
* Ability to work with the other technical members of the team to administer and support the overall program
* Enthusiastic & energetic performer able to work in a dynamic, fast-paced environment
* Proficiency with MS Office Applications

Desired Skills:
* Experience using DOORS for requirements management
* UAV or Aviation domain knowledge
* Experience supporting DoD contracts
* Effective written and verbal communication, customer focus, collaboration, and multitasking skills
 
Job Number: 46190
Job Location: Dulles, Va
Duration: 6 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Rick Nussbaum
Address: 1857 WELLS RD STE 216
City, State: ORANGE PARK, FL 32073
Phone: 904/562-3214
800 Phone: 866/277-3343
Fax Phone: 904/713-2000
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer - Clearance AL

Description:
163649
Systems Engineer - Clearance
Contractor's Work Location Huntsville, AL

Number of Positions 4
Job Level 2
Assignment Type Temp to Hire

Target Start Date 12/03/2018
Target End Date 03/02/2020
Work Schedule Standard
Estimated Regular Hours/Week 44.00
Shift 1st

OT Expected No

Straight Time (Exempt)

Drug Screen Yes
Background Checks Yes

Position Description
We are currently seeking System Engineers to be a part of the team developing hypersonic technology that could forever change our ability to deter and respond to conflict. As part of the Systems Engineering team, the successful candidate will support tasks related to development of the overall System including the Booster, Payload Delivery Vehicle (PDV), and Software (SW). The candidate will have the opportunity to learn and work in all Systems Engineering disciplines to include system design, requirements definition, allocation, maintenance, verification/validation, system integration, systems analysis and test. In addition, the candidate will support major program reviews including SRR, PDR, and CDR. The candidate may participate in Specification and Interface Control Document development. The candidate may support the requirements verification process to include development of Verification and Test Plans. The candidate may support missile system analyses in support of mission planning and execution. The candidate may support tests at various test ranges. Some travel may be required. The successful candidate will be involved in all phases of the project life cycle (e.g., architecture development, CONOPS, requirements definition, design, qualification, verification, integration assembly and test). The candidate will work in a team environment and will interface with multiple disciplines, program management and customers to help drive to a complete solution.

Skills Required
- Bachelor of Science in engineering or related technical discipline with 1 or more years of professional experience or Masters degree in engineering or related discipline
- Systems Engineering (or related) experience and familiarity with systems processes including one or more of the following:
1) requirements identification, decomposition and allocation
2) interface control document and element specification development and management
3) planning or performance of requirement verification
4) system-level missile system analysis
5) hardware and/or software test planning and/or execution
-Experience with Microsoft Office suite (i.e., PowerPoint, Excel, Word)
-Strong Communication Skills
-Demonstrated ability to work in a team-environment

Skills Preferred
-Experience with one or more of the following
1) Support to major design reviews
2) Missile system engineering experience
3) DOORS or other requirements tool
4) MatLab, and/or other design or analysis tools
5) Hardware/Software Development
6) Hypersonics
7) Missile or Weapon System Development
8) Performance, Functional, or Software Requirements

Experience Required
-Experience and familiarity with systems processes
-Experience with Microsoft Office suite (i.e., PowerPoint, Excel, Word)

Education Required
- Bachelor of Science in engineering or related technical discipline with 1 or more years of professional experience or Masters degree in engineering or related discipline
 
Job Number: JE-97S
Job Location: Huntsville, AL
Rate: 75/hr max
Per Diem: no
Duration: 15 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer II – UAV Ground System Engineer / no clearance VA

Description:
163663 Systems Engineer II – UAV Ground System Engineer

Work Site Address : Dulles VA
Job Number: 8792873

Start Date: ASAP
End Date: 6-12 Months
Position Type: Contract

Seeking a motivated systems engineer to assist in incremental design, development and test of Unmanned Aerial Vehicle (UAV) ground control systems. The role includes supporting a team with the development of requirements, architecture, design, integration, verification & validation of the ground control system. The candidate should be knowledgeable of the Systems Engineering life-cycle and have experience working collaboratively in small teams.

Roles and Responsibilities
• Assists with assessment of system specifications and development or elicitation of requirements
• Support projects through design, integration, testing, and delivery support
• Apply communication, analytic and problem-solving skills to identify, communicate and resolve issues
• Support the integration of software, hardware and Components off the Shelf (COTS)
• Support testing of the system and execute customer selloff
• Development test methods, implementing automating testing and scripting when possible
• Supports the update process for operations manuals, work instructions, tools, and scripts
• Contributes to a team of systems engineers in accomplishment of engineering tasks in an iterative development environment
• Attends technical interchange meetings with the team members and other program personnel
• Provides status on assigned tasks

Qualifications and Education Requirements
• Solid understanding in engineering of System of Systems
• Experience with a requirements management tool
• Automated test script development
• Must exhibit good time management skills and self-motivation; focus on customer service
• Possess excellent oral and written communication skills and sound problem solving skills
• Ability to work with the other technical members of the team to administer and support the overall program
• Enthusiastic & energetic performer able to work in a dynamic, fast-paced environment
• Proficiency with MS Office Applications

Preferred Skills
• Experience using DOORS for requirements management
• UAV or Aviation domain knowledge
• Experience supporting DoD contracts
• Effective written and verbal communication, customer focus, collaboration, and multitasking skills
 
Job Number: MB-R8792873
Job Location: Dulles, VA
Rate: open
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer IV

Description:
Location: Jupiter, FL
Rate: $60.00 - $68.00/HR
Duration: 6 month contract
Shift: Regular 40 HR work week. OT possible.
Per Diem Split: Yes (if eligible)

** Candidates must be U.S. Citizens **
** Permanent residents are NOT eligible for this role **
** Dual citizens are NOT eligible for this role. Also, candidates with a current non-U.S. passport in addition to a U.S. passport are NOT acceptable **

Duties:
** This is a Hardware Systems role, NOT Software or Electrical systems **

- Without appreciable direction and exercising considerable latitude in determining technical objectives and approaches to assignments, performs in a largely independent role for the Systems Engineering organization.
-Typical responsibilities include: May plan, conduct, and provide technical Systems Engineering direction on projects or major phases of significant project assignment, coordinating the efforts of technical support staff in the performance of assigned projects.
- Requires the use of advanced Systems Engineering techniques in management of requirements and risk for developing rocket propulsion systems.
- Assesses the feasibility and soundness of proposed engineering evaluation tests, products or equipment when necessary data are insufficient or confirmation by testing is advisable.
- Represents the organization in conferences to resolve important questions and to plan and coordinate work.
- May direct, coordinate and review the work of a small staff of engineers and/or technicians; estimates personnel needs and schedules and assigns work to meet completion date. Or, as individual researcher or staff specialist may be assisted on projects by other engineers or technicians.
- Develops solutions to complex problems which require the use of ingenuity and creativity.
- Work is reviewed at extended intervals for desired results and achievement.
- Contacts include interacting with customer personnel on technical matters often requiring coordinated activity across organizational lines.

-60% - Develops hardware and software requirements for rocket propulsion systems product development. Responsible for derivation, allocation, and documentation of requirements in a requirements database. Responsible for the development and maintenance of system, subsystem, and component specifications. Coordinates requirements and related specification change management with IPT members. Defines and coordinates requirements verification plans with integrated product team members, plans and manages compliance assessment and certification.
-15% - Performs functional analysis in support of requirements development effort. Supports and/or coordinates system trade studies. Coordinates selection of optimized concept baselines through benefit/cost trades in support of definition of system architecture and objectives.
-15% - Coordinates project risk assessment and management and prepares and reports results on mitigation plans.
-10% - Communicates results in oral presentations and written communication.

Skills:
Required:
-DOORS
-DOORS NG
-DOORS requirements management
-Jazz Team Server tool knowledge
-Systems requirements management and flowdown/decomposition for subsystems and components
-Rocket hardware experience

Desired:
-Hardware requirements development for complex systems including propellant valves/electro-mechanical actuators

Keywords:
-DOORS
-Requirements Management
-Requirements Development

Education:
- BS Engineering
 
Job Number: 10035
Job Location: Jupiter, FL
Rate: $60.00 - $68.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 6 mos
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer Level 1

Description:
Systems Engineer Level 1

Location: Littleton, CO
Pay Rate: $39/hr
Duration: 1 year contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**

Description
This is a junior level position. Performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
Analyses are performed at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal.
Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
Performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.

Mandatory
-BS Degree in engineering, applied mathematics, physics, robotic systems, artificial intelligence or closely related technical field and 2 years of professional level career experience.
-Evaluating, updating and documenting System Engineering products.
-This includes a strong ability to coordinate across multiple disciplines and stakeholders, to synthesize best solutions.
-Strong collaboration with a team will be needed to ensure that all stakeholder needs are captured and validated.
-Performs analyses for total systems at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal.
-Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
-Performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
-Ability/familiarity with Model Based Systems Engineering tools and practices.

Desired
Knowledge of MagicDraw a strong plus.
 
Job Number: 10018
Job Location: Littleton, CO
Rate: 39
Per Diem: Split Possible
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer Level 3

Description:
Systems Engineer Level 3

Location: Littleton, CO
Pay Rate: $67.50/hr
Duration: 1 year contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**

Description
Performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
Analyses are performed at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal.
Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
Performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.

Mandatory
• Basic Qualifications for this position will include a BS Degree in engineering, applied mathematics, physics, robotic systems, artificial intelligence or closely related technical field and 5 years of professional level career experience.
* Experience developing test plans, manage test articles, support and lead subsystem design verification activities through system integration and flight tests.
* Experience developing integration and test processes and perform integration of system components. * Experience developing verification methods, Develop test documentation, lead pre-test reviews, and coordinate with BHP program offices and test facilities for test planning and execution.
* Position requires ability to travel to Copper Cities mine near Claypool, AZ

Desired
• An advanced degree (MS or PhD) in engineering, mathematics, physics, robotic systems, artificial intelligence or closely related technical field is desired.
• Experience working with robots and/or robotics systems is desired.
• Experience working with suppliers and/or partners is desired.
• Experience with hardware/software integration, and testing complex products such as robotic ground vehicles is desired.
• The ability to be an effective and inspiring technical lead for certain projects may be desired.
• Experience with C/C++, Python, and/or MATLAB is desired.
• Experience in developing algorithms and software in one or more of the following areas related to autonomy or robotics: unmanned autonomous platforms, machine vision, machine learning, collaborative operations, image and signal processing, tracking, Kalman filtering, robotic controls, sensors systems, navigation, multi-int fusion, weapon systems, unmanned remote platforms, vehicle driver warning/driver assist, advanced algorithm development.
• Prior experience working as a post graduate researcher in a closely related field of research would be a plus.
• Prior experience working on U.S. DoD programs and particularly U.S. Army programs would be a plus.
 
Job Number: 10019
Job Location: Littleton, CO
Rate: 67.50
Per Diem: Possible
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineering Support Analyst III - (OK)

Description:
Several openings in Oklahoma City, OK.

Active Secret Clearance, NOT required to start.

Researches, analyzes, and compiles technical data for company products and system-level concepts in the projected operational environments to optimize effectiveness over the program lifecycle. Applies systems engineering processes, methodologies and tools to the design of system of systems, systems and new product development. Derives and develops architectures, functional requirements, refinements and product designs. Assists in the integration of technical, cost, value, risks and specialty engineering considerations into definition of the product. Interfaces with other members of the project or program teams, management, sales and marketing staff, customers and suppliers to meet group, organization and company objectives. Performs technical planning and control; maintains and improves processes and software tools. Trains and instructs others.

Technical bachelor’s degree and typically 5 or more years’ related work experience or a Master’s degree with typically 3 or more years’ or a PhD degree or an equivalent combination of education and experience. A technical degree is defined as any four year degree, or greater, in a mathematic, scientific or information technology field of study.
 
Job Number: SystSupptAnlstOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems/Infrastructure Engineer

Description:
Infrastructure Engineer is needed on the JPSS CGS program for the C3S Enterprise, Security and Infrastructure team. They will design and support deployment of a heterogeneous mix of computing hardware and software platforms including servers, workstations and numerous COTS and custom software applications. This candidate will be working on a team of senior members to develop infrastructure designs (OS, Networks, Storage) for upcoming efforts. This candidate will need to have familiarity with the decomposition of requirements, experience with Infrastructure design, development and procurement, previous System Admin experience, experience interfacing with vendors to develop solutions, researching HW and SW solutions, creating hardware drawings and Bill of materials, automation of system deployments, specifically with IBM Big Fix/TEM. Experience following and documenting processes is also required. Responsibilities include:

* Assisting the team with infrastructure design tasks
* Understanding requirements
* Meeting with vendors and discussing HW and SW solutions
* Creating Bill of Materials
* Following procurement processes
* implementation of Infrastructure design
* Decomposing requirements to help develop solutions for the customer
* Updating and Creating Hardware drawings
* Providing inputs to design review presentation packages
* Providing inputs to program schedules
* Providing inputs for Engineering Estimates
* Providing inputs needed to procure HW and SW solutions
* Documenting and following processes

Required Skills
* Ability to obtain a Common Access Card (CAC) to access government systems
* Scripting skills (i.e. PowerShell, Python, Ruby, bash, etc.
* Experience with automation tools such as IBM Big Fix/TEM, Chef , Puppet, Ansible, SaltStack
* Experience with Windows
* Experience with installation of various COTS products
* Proficient in Microsoft Office products - Outlook, Word, Excel, Powerpoint, Project and Visio
* Experience with VMware
* Solid understanding of storage and networks
* Ability to work with teams
* Ability to document software, hardware and service solutions to meet customer objectives
* Utilize hands-on integration of various hardware and software products.
* Familiarity with Drawing products such as Visio and AutoCAD
* 4 years of related work experience

Desired Skills:
* Experience with DISA STIGs
* Experience with Linux System Administration
* Basic engineering principles as they apply to hardware assemblies
* Knowledge of configuration management process

Education Requirements:
Requires a degree in Science, Technology, Engineering or Mathematics (STEM) and 4+ years of related work experience or 12 years of related work experience in lieu of a degree Bachelors Degree in an Engineering Discipline.
 
Job Number: 46120
Job Location: Riverdale, Md
Duration: 12 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Rick Nussbaum
Address: 1857 WELLS RD STE 216
City, State: ORANGE PARK, FL 32073
Phone: 904/562-3214
800 Phone: 866/277-3343
Fax Phone: 904/713-2000
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Technical Writer Sr. (EWIS, STE, & PLM)

Description:
Responsible (either individually or with other team members) for the writing of major portions of technical publications. Supports the complete workflow process to ensure successful completion of each revision cycle and distribution of technical publications. Complies with established quality processes to ensure accuracy, ease of use and timely delivery of each revision cycle content.

Education and Experience Requirements:
• Four (4) years Technical Publications writing experience.
• Three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications experience required.

Unique Skills:
• EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired.

Principal Duties and Responsibilities:
• Write technical publications content as directed.
• Conduct reviews and analyze technical documents to gain a thorough understanding of how complex systems operate and how they are to be integrated into technical manuals to provide the end user with the level of text and graphics to support the efficient return to service of the aircraft system.
• Consult with internal, external customers and Engineering to create/revise technical manuals to support the department/corporate operating priorities.
• Provide input to management on new processes or technology for integration into the technical publications business model.
• Assist in developing solutions for overcoming the technology/technical issues introduced by outside suppliers for incorporation into existing manuals.
• Work with management, other departments, and team members in the development of specific project data.
• Provide liaison with Completion Center, Technical Operations, Production and/or Completion Engineering, Service Center to obtain the necessary approval for technical information prior to its release to customers.
• Participate with publications team to ensure all internal department workflows and business processes are followed for issues relating to producing and delivering technical publications and associated Computerized Maintenance Program (CMP) data.
• Work with internal/external customers in the development of specific project requirements of source data to ensure a seamless incorporation of new data in the publications environment. Perform other duties as assigned.

Other Requirements:
• At least three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications.
Strong technical writing and communication skills are required.
• Ability to read and interpret blueprint and Engineering Orders.
• Must have a thorough knowledge of aircraft tools, test equipment, vendor coding, parts nomenclature, maintenance procedures, and of aircraft equipment and maintenance.
 
Job Number: 24-285
Job Location: Savannah, GA
Rate: $37
Per Diem: Yes
Overtime: Yes
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Jeff York
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Technical Writer Sr. (Illustration Parts Catalog)

Description:
Responsible (either individually or with other team members) for the writing of major portions of technical publications. Supports the complete workflow process to ensure successful completion of each revision cycle and distribution of technical publications. Complies with established quality processes to ensure accuracy, ease of use and timely delivery of each revision cycle content.

Education and Experience Requirements:
• Four (4) years Technical Publications writing experience.
• Three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications experience required.

Unique Skills:
• IPC experience preferred. Completions Illustrated Parts Catalog special project: 1 year contract

Principal Duties and Responsibilities:
• Write technical publications content as directed.
• Conduct reviews and analyze technical documents to gain a thorough understanding of how complex systems operate and how they are to be integrated into technical manuals to provide the end user with the level of text and graphics to support the efficient return to service of the aircraft system.
• Consult with internal, external customers and Engineering to create/revise technical manuals to support the department/corporate operating priorities.
• Provide input to management on new processes or technology for integration into the technical publications business model.
• Assist in developing solutions for overcoming the technology/technical issues introduced by outside suppliers for incorporation into existing manuals.
• Work with management, other departments, and team members in the development of specific project data.
• Provide liaison with Completion Center, Technical Operations, Production and/or Completion Engineering, Service Center to obtain the necessary approval for technical information prior to its release to customers.
• Participate with publications team to ensure all internal department workflows and business processes are followed for issues relating to producing and delivering technical publications and associated Computerized Maintenance Program (CMP) data.
• Work with internal/external customers in the development of specific project requirements of source data to ensure a seamless incorporation of new data in the publications environment. Perform other duties as assigned.

Other Requirements:
• At least three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications.
Strong technical writing and communication skills are required.
• Ability to read and interpret blueprint and Engineering Orders.
• Must have a thorough knowledge of aircraft tools, test equipment, vendor coding, parts nomenclature, maintenance procedures, and of aircraft equipment and maintenance.
 
Job Number: 24-284
Job Location: Savannah, GA
Rate: $37
Per Diem: Yes
Overtime: Yes
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Jeff York
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Technical Writer Sr. (Military publication experience)

Description:
Responsible (either individually or with other team members) for the writing of major portions of technical publications. Supports the complete workflow process to ensure successful completion of each revision cycle and distribution of technical publications. Complies with established quality processes to ensure accuracy, ease of use and timely delivery of each revision cycle content.

Unique Skills:
Military publishing experience.

Education and Experience Requirements:
• Bachelor's Degree or equivalent experience in the authoring of technical publications required.
• Four (4) years Technical Publications writing experience.
• Three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications experience required.

Principal Duties and Responsibilities:
• Write technical publications content as directed.
• Conduct reviews and analyze technical documents to gain a thorough understanding of how complex systems operate and how they are to be integrated into technical manuals to provide the end user with the level of text and graphics to support the efficient return to service of the aircraft system.
• Consult with internal, external customers and Engineering to create/revise technical manuals to support the department/corporate operating priorities.
• Provide input to management on new processes or technology for integration into the technical publications business model.
• Assist in developing solutions for overcoming the technology/technical issues introduced by outside suppliers for incorporation into existing manuals.
• Work with management, other departments, and team members in the development of specific project data.
• Provide liaison with Completion Center, Technical Operations, Production and/or Completion Engineering, Service Center to obtain the necessary approval for technical information prior to its release to customers.
• Participate with publications team to ensure all internal department workflows and business processes are followed for issues relating to producing and delivering technical publications and associated Computerized Maintenance Program (CMP) data.
• Work with internal/external customers in the development of specific project requirements of source data to ensure a seamless incorporation of new data in the publications environment. Perform other duties as assigned.

Other Requirements:
• At least three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications.
Strong technical writing and communication skills are required.
• Ability to read and interpret blueprint and Engineering Orders.
• Must have a thorough knowledge of aircraft tools, test equipment, vendor coding, parts nomenclature, maintenance procedures, and of aircraft equipment and maintenance.
 
Job Number: 24-281
Job Location: Savannah, GA
Rate: $37
Per Diem: Yes
Overtime: Yes
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Jeff York
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test & Evaluation Engineer 2

Description:
Test & Evaluation Engineer 2

Location: Tukwila, WA
Duration: 3 months

Description:
Assists in demonstrating the effectiveness of test methods. Develops simple test procedures, and instrumentation and configuration definition needed to perform the test and minimize risk. Designs component and sub-assembly level hardware or software and uses analytical tools to verify the design. Assists in coordinating the manufacture and assembly of test hardware. Identifies solutions to basic problems and supports the development of metrology processes, methods, and tools. Supports development of test debrief material. Participates in evaluation of test performance data. Reviews test data for accuracy, quality and/or fidelity prior to delivery to customer. Alerts senior engineers of off-nominal data. Prepares test reports for review. Works under general supervision.

Education / Experience:
Bachelor's degree and typically 2 or more years' experience in an engineering classification or a Master's degree with experience in an engineering classification.

Required:
Bachelor or Master degree from an accredited course of study, in engineering, com

Position Comments:
This specific role will be on our team of project integrators. They are the interface between client Structural Test and our business partners and customers who have work being performed in our labs. You will integrate the upfront statement of work, estimate and create a schedule with the support of the lab leads. The project is then managed by the project integrator who will help remove road blocks and provide status. Effective communication, a technical and business mindset, MS Office proficiency are required. Project management and CATIA V5 skills desired.

Skill Code: 64D-Test Program Req & Planning

Please share updated resume at ravi@iconma.com if interested.
 
Job Number: 18-17670
Job Location: Tukwila, WA
Per Diem: Available
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Ravi Kumar
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/234-6123
800 Phone: 866/914-9724
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test & Evaluation Engineer IV - (WA)

Description:
Current US Secret Clearance preferred. Ability to obtain a US Secret Clearance required. Candidates with recent clearances (within the last 2 years) will be considered. Experienced with Autoflight Certification Testing. Ability to travel Domestic and International (~10%).

Experienced Autoflight or Flight Deck test engineer for large military and/or commercial aircraft. Ability to lead the development of test plan scope, procedures and schedule at the program level. Strong systems engineering experience managing/mapping verification requirements (i.e. DOORS) and certification regulation methods of compliance. Applies lessons learned to the improvement of test procedures. Guides others in the design of test hardware, software and systems to achieve test requirements. Works well as a member of the test team. Leads the analysis, processing and formatting of data to customer requirements. Approves test data prior to delivery to customer. Formulates expectations for test data based on historical data. Performs functions as required to ensure calibration system readiness and data validity. Trains others.

Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.
 
Job Number: TestEvalEngWA
Job Location: Tukwila, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Engineer

Description:
Can Start Without a Clearance

Support the production for deliveries by maintaining, installing and repairing test equipment. Requires strong troubleshooting skills and experience with National Instrument TestStand and LabVIEW (HT Basic a plus). Engineer needs to be a self-starter who can fully engage with other personnel on program which includes, testers, operators, systems engineers, manufacturing engineers, etc. Engineer needs to spend most of their time on the production Engineering support and be able to give solid and timely feedback to the test supervisor and test engineer lead. Must be able to travel

ATE Test Equipment installation an V&V
ATE Software HTBasic and NI LabVIEW development experience.
 
Job Number: 45360
Job Location: FL
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Engineer - Level 2

Description:
Location: Oldsmar, FL
Rate: $45.00 - $50.00/HR
Duration: 1 year contract
Shift: 2nd Shift
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****

Job Description:
- Provide test engineering support to production program integrated product team (IPT’s).
- Define test process plans identifying the sequence of required test steps.
- Define required tools, instruments, fixtures, software, hardware, interfaces, test chambers, etc. required to execute the test process plan.
- Define optimal product flow and develop the appropriate test work cell layout.
- Develop tests and generate detailed test procedures including narrative, diagrams and photographs.
- Generate detailed troubleshooting guides to aid in the troubleshooting and analysis of the root cause of test failures.
- Analyze test defect data and trends helping to define and implement corrective actions.
- Support the change management activities of the production program IPT.
- Provide day-to-day test engineering support to the work cell by resolving technician questions, investigating and solving test and/or product performance problems, and improving test procedures, tooling, fixturing, hardware, software, etc. in an effort to optimize the test process.

Skill/Experience/Education
Mandatory
- Test Engineer with working knowledge of RF test and troubleshooting.
- Experience and working knowledge of RF test equipment (e.g., network analyzer, signal generator, spectrum analyzer, function generator, power meter).

Desired
- BSEE
 
Job Number: 10158
Job Location: Oldsmar, FL
Rate: $45.00 - $55.00/HR
Per Diem: Split Possible
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Engineer Level 2

Description:
RESPONSIBILITIES:
Provide test engineering support to production program integrated product team (IPT's). Define test process plans identifying the sequence of required test steps. Define required tools, instruments, fixtures, software, hardware, interfaces, test chambers, etc. required to execute the test process plan. Define optimal product flow and develop the appropriate test workcell layout. Develop tests and generate detailed test procedures including narrative, diagrams and photographs. Generate detailed troubleshooting guides to aid in the troubleshooting and analysis of the root cause of test failures. Analyze test defect data and trends helping to define and implement corrective actions. Support the change management activities of the production program IPT. Provide day-to-day test engineering support to the workcell by resolving technician questions, investigating and solving test and/or product performance problems, and improving test procedures, tooling, fixturing, hardware, software, etc. in an effort to optimize the test process.

REQUIRED EXPERIENCE:
Test Engineer with working knowledge of RF test and troubleshooting. Experience and working knowledge of RF test equipment (e.g., network analyzer, signal generator, spectrum analyzer, function generator, power meter).

EDUCATION:
Accredited High School Diploma/GED, BSEE preferred.

WORK HOURS:
9/80, 2nd Shift
Full-Time

Candidates responding to this posting must currently possess the eligibility to work in the United States. No third parties please.

Chipton-Ross provides equal employment opportunities to all employees and applicants for employment without regard to race, color, creed, religion, national origin, sex (including pregnancy), age, disability, sexual orientation, gender identity and/or expression, protected veteran status, genetic information, or any other characteristic protected by Federal, State or local law. This policy governs all areas of employment at Chipton-Ross, including recruiting, hiring, training, assignment, promotions, compensation, benefits, discipline, and terminations.



© 2018 Chipton‑Ross Inc. All rights reserved
 
Job Number: 175222
Job Location: Oldsmar, FL
Rate: $45-$55/hr DOE
Duration: 12 mos
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Christophor Long
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Instrumentation Engineer

Description:
Supports the development and verification of test methods. Develops detailed test procedures, and instrumentation and configuration definition, needed to perform the test and minimize risk within the constraints of the test plan. Develops solutions to calibration systems problems of limited scope and impact. Designs test hardware, software and systems to achieve test requirements. Uses analytical tools to verify the design. Analyzes, processes, and formats data to customer requirements. Reviews test data, including off-nominal data, for accuracy, quality and/or fidelity prior to delivery to customer. Prepares and publishes test reports to document test results and satisfy requirements. Presents test results internally. Archives data and reports. Trains others. Works under general direction.
 
Job Number: 11-1518
Job Location: Seattle, WA
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Shailendra Mishra
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Tool Accountability Analyst

Description:
Location: Chula Vista, CA
Rate: $39.88/HR
Duration: 1 year contract
Shift: 1st Shift
Overtime: Possible (x1.5)
Per Diem Split: No
Travel: Yes

****MUST BE A U.S. CITIZEN****
****MUST HAVE A BACHELOR'S DEGREE****

****Travel 75% between Chula Vista, Riverside, CA and Utah facilities.****


Job Description:
- Coordinate tooling shipments from company facilities during the off-site transfer, maintain all documentation, research undocumented tooling to resolution and update transfer files.
- Work directly with the packaging vendor to ensure safe and accurate transfer of tools

Required Skills:
- Analytical processing
- Basic Microsoft software skills in Excel and Outlook
- Good communication skills
- SAP experience
- Travel 75% between Chula Vista, Riverside, CA and Utah facilities.

Preferred Skills:
Project orientated detailed tasks:
- Participate with audits of tooling assets
- Update and maintain tooling records
- Verify Certified Tool Lists for tooling records completeness and accuracy
- Track special tooling at all company Sites and Suppliers through SAP records
- Track tool orders for asset record creation and monitor systems to be in sync
- Participate in development and maintenance of metrics for auditing reporting and inventory results
- Communicate with Contract Administrators / Customers for tooling reconciliations and dispositions
- Coordinate tooling inventories, transfers, dispositions, maintain all documentation and standardize tasks
- Establish and maintain Short and Long term objectives & strategies
- Create and monitor SAP DWOR documents required for tool movement for Export Control
- Provide instructional meetings for tooling focals

Education:
- Bachelor's Degree
 
Job Number: 10101
Job Location: Chula Vista, CA
Rate: $39.88/HR
Per Diem: No
Overtime: Possible
Duration: 1 yr
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Tool Design Engineer

Description:
6-8 years equivalent knowledge/experience in designing and building complicated dies, gages, and fixtures
Experience in designing and building of complex tools.
Experience with designing and building aerospace tooling.
Professional in CAD design software (NX or SolidWorks).
Must possess good communication and organizations skills.
Computer literacy is a must, including competency in general MS Office applications, technical computer modeling, and statistical tools.
 
Job Number: 45664
Job Location: NH
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Tool Designer

Description:
Investigates and develops complex equipment and tooling concepts and specifications to satisfy aerospace product design and build requirements.
Participates in design reviews, collects design requirements, creates and revises drawings and models, researches the application of robotics, automation and new technology to support the build plan.
Creates off-load packages, specifications, purchase requests and usage instructions for equipment and tooling.
• Checks designs for compliance with safety, producibility, maintainability, reliability, ergonomic factors and regulatory requirements.
• Develops and documents equipment and tooling inspection and usage instructions, preventative maintenance plans, and user training.
• Provides technical support for engineering changes and discrepancies by investigating problems and analyzing data to identify solutions.
Maintains hardware and software configuration. Assesses and makes recommendations for disposition of equipment and tooling.
AA degree(or Above) Required
 
Job Number: 1132018
Job Location: Everett, WA
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Shailendra Mishra
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Web and Media Programmer

Description:
Our client is looking for a Web and Media Programmer in Ocala, Florida! Apply today with your most recent resume and if you meet the qualifications a recruiter will be in contact with you!

The ideal candidate for this position will perform the following tasks:
* Generates, revises, compiles, debugs and writes simple to moderately complex business, scientific, or software computer programs.
* Develops flow charts and diagrams outlining process steps in operation
* Develops and revises program code
* Prepares documentation of program development, modifications and operating instructions
* Conducts program tests and makes modifications to code as needed
* May be required to analyze system capabilities to resolve input/output problems.
* Supports the design/development of new or modified equipment or methods/systems for improved performance through analysis and check-out.
* Evaluates and resolves calibrating and troubleshooting problems.
* Leads and mentors others and lower level employees, may assign work and schedule work flow.
* Usually works without established procedures, performing a wide variety of nonstandard complex tasks using advanced techniques and requiring extensive knowledge of discipline.
* Analyzes requirements for special projects and recommends methods and processes to accomplish.

Mandatory Qualifications and Experience:
* Must be a U.S. Citizen
* High School diploma, or equivalent experience/combined education, with additional specialized technical training equivalent to a technical Associate degree and/or demonstrated ability to perform assigned technical/para-engineering tasks and 5 Years or more of experience.
* Requires extensive knowledge in specialized functions.
* A wide and comprehensive acquaintance with, and understanding of, both general and specific aspects and the technical phases of the job and their practical application to complex problems and situations ordinarily encountered.Minimal supervision.

Desired Qualifications and Experience:
* Visual Basic/MetCal Software experience.

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129323
Job Location: Ocala, FL
Duration: 1 year
Start Date: 12/03/2018
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

Back to Advanced Job Search