Job Search Results

532 listings were found that matched your search words: Keywords: Electrical ( Please note: We assume that all U.S. jobs are "EOE/M/F/D/V" unless otherwise noted. ) ( Listing generated 2024/04/19 Friday 02:35AM Pacific Time by ContractJobHunter. )

Listings 1 - 532

Go To My Job Tracker

Expand all descriptions

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2469 - AVIONICS/ELECTRICAL SYS. - AFTERNOON, MIDNIGHT & WEEKEND SHIFT

Description:
Provide Avionics Engineering support to the Client Final Line Assembly during afternoon, midnight and weekend shift.

Witness system functional testing and conduct technical investigations on Electrical and Avionic systems related issues, analyze and develop solutions/recommendations and reports. Disposition RFCs, RNCs, and Supplement 2s as required.

Review functional test requirements and ensure translation into mature functional tests.

Track and ensure successful implementation of systems installations and modifications.

Work with the product development team to assist with technical proposal activities, including preparation of written material and presentations. Recommend and review design changes.

Develop good working relationships with various departments within BA and with all suppliers.

Bachelor of Engineering Degree and eligibility for Professional Engineer registration.

AME license is an asset.

Experience in providing engineering support to a Final Line/ Production.

15 years' experience in aircraft electrical systems and or avionics systems (Electrical Generation, Distribution, Lighting, Navigation, Communication, Displays, EICAS, Data Concentration System, AFCS, Stall System, OMS, FDR, CVR etc).

Conversant with design, qualification and certification test requirements of Aircraft Electrical and or Avionic systems.

Have flexibility to travel.

Familiar with Part 25 certification requirements and advisory material.

Possess strong integration skills, in both system partner management and system technical integration.

Familiar with Microsoft Office software tools.

Strong communication skills, ability to work well in a multi-disciplinary team environment.

Regular work day, some flexibility required:

Afternoon Shift (3:30 to 12:00) ;

Midnight Shift = (11:00 PM to 6:30 AM);

Weekend Shift = Friday, Saturday, Sunday and Monday.
Friday (3:30 PM -12:00 (midnight)),
Saturday (6:00 AM to 6:00 PM),
Sunday (6:00 AM to 6:00 PM)
Monday (8:00 AM 8 to 4:30 PM)
 
Job Number: 2469
Job Location: Canada
Rate: 62.00 US
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 12+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2500 - ELECTROMAGNETIC COMPATIBILITY (EMC) ENGINEER - cv, word doc only - On Hold

Description:
Responsible at the aircraft and system level for Electromagnetic Compatibility (EMI)/High Intensity Radiated Fields (HIRF)/Lightning Strike/ Electrostatic Discharge technical requirements.

Knowledge of relevant industry standards (RTCA/DO-160, SAE ARP) and high level of familiarity with airworthiness (TCCA, FAA, EASA) Regulations and Advisory Materials pertaining to EMI, HIRF, Lightning and Electrostatic Discharge.

Execute intermediate to complex engineering assignments related to EMI, HIRF, and Lightning and Electrostatic Discharge requirements.

Analyze new systems designs, assess technical impacts, propose EMC compliance approaches, review/approve technical documents, test plans, test procedures and test reports, and check compliance with certification regulations.

Define scope of work for EMC effort of technical/engineering projects, estimate the time required, plan, highlights technical risks and execute according to priorities.

Define technical requirements, test requirements and perform detailed analysis to ensure aircraft and systems compliance to EMC certification regulations.

Prepare EMI, HIRF, Lightning and Electrostatic Discharge test procedures, execute tests in laboratories and on aircraft and generate results and analysis test reports.

Support and witness EMC tests in Canada, United States and Europe as needed.

Master degree in Electrical or EMC Engineering, or alternatively a Bachelor's degree in Electrical or Physics Engineering with a minimum of eight (5) years of experience in aircraft EMC/HIRF/Lightning/Electrostatic Discharge (FAR 25.981 is an asset).

8 years of experience or more in an aerospace manufacturing company.

Have EMC bench and/or aircraft testing experience.

Have a minimum of five (5) years working experience with systems qualification/certification.

Knowledge of harness design, aircraft design principles including familiarity with aircraft regulatory requirements.

Proficient with the use of PC including Microsoft Office software tools.

Energetic person with strong written and oral communication and interpersonal skills, a high sense of commitment, and a willingness to accept responsibilities while acting independently in a high-pressure partner/supplier team environment.

Must be familiar with DO160, STD ARP, MIL STD, FAA regulations, as well as other applicable airworthiness regulations.

Must be able to analyze modifications at aircraft level and check compliance with certification regulations.

Must be able to support and witness EMC tests in Canada, United States and Europe as needed.
 
Job Number: 2500
Job Location: Montreal, Canada
Rate: 52.00
Per Diem: Paid 3 Months only
Overtime: Paid NOT at 1.5
Duration: 36+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
A&P Mechanics

Description:
We have an urgent need for contract Aerospace Mechanics here in Moses Lake, WA. This is a very high paying position. The position also has opportunities to become full time.

Job Duties and Responsibilities

Service, repair, troubleshoot and modify aircraft and aircraft engines.
Repair, replace and rebuild aircraft structures, system and functional components.
Assemble and install electrical, plumbing, mechanical, hydraulic, structural components and accessories using hand tools and power tools.
Adjust, align and calibrate aircraft systems using hand tools, gauges and test equipment, machines and equipment such as shears, sheet metal brakes, welding equipment, pneumatic tools.
Research and understand FAA technical data relevant to assigned tasks.
Maintain the premises in a clean and organized manner.
Remove and/or install aircraft components, test IAW MM, and troubleshoot aircraft systems.


Requirements
Knowledge/Skills/Abilities

Strong knowledge in FAA regulations, Aviation Rules (FOD’s, Safety, Quality, Hazardous Materials, Aircraft Marshalling), aerodynamics, aircraft electrical systems, materials science, aircraft maintenance, electrical testing, instrumentation, gas turbine and piston power plants.
Good leadership (individual contributor) presence:
Able to lead by example and live/work by company values.
Ability to successfully contribute to a positive and productive work environment.
Able to instill a sense of urgency in team members.
Able to be patient and objective in difficult situations with different types of people.
Good customer service tool box:
Professional mannerisms, appearance and actions (self-confident and committed to high ethics).
Strong follow-through, quick thinking and resourceful.
Ability to remain calm, cool and collected in stressful situations.
Strong sense of urgency to address and solve important issues.
Good organizational, time management and prioritization skills:
Able to multi-task, maintain focus on several different projects at one time and hit deadlines.
Able to be flexible with attention and priority.
Ability to work in a progressive, fast-paced environment (work well under pressure).
Good analytical skills, with an ability to troubleshoot, problem-solve and effectively and efficiently make decisions.
Good communication skills (oral, written) with customers.
Act as an active listener, seeking to understand and then to be understood, articulating clearly and confidently.
Good interpersonal skills, with the ability to build strong relationships at all levels. Ability to influence others as well as relate to individuals at all levels of the organization.
Good project management skills, including the ability to take ownership for accomplishing assigned tasks. Results-oriented planner who ensures that goals are met. Able to set priorities and keep to projected schedules.
Computer Skills: Comfortable and effective working in Microsoft Office, including Word, Excel, Outlook, and PowerPoint.
Education

Associate’s Degree (or Technical School equivalent) in Aircraft Maintenance or related field from an FAA accredited school.
A&P Certificate.
Japanese Bilingual preferred.
Experience

Three (3) plus years of aircraft mechanical experience preferred.
 
Job Location: Moses Lake, WA
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Calderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
AIRFRAME & POWERPLANT TECHNICIAN

Description:
Johnson Service Group is currently seeking a Airframe & Power Plant Technician to work for our client.

Adjusts, aligns, and calibrates aircraft systems, using hand tools, gauges, and test equipment.
Examines and inspects engines or other components for cracks, breaks or leaks.
Disassembles and inspects parts for wear, warping, or other defects.
Assembles and installs electrical, plumbing, mechanical, hydraulic and structural components and accessories using hand tools and power tools.
Services and maintains aircraft systems by performing tasks, such as flushing crankcase, cleaning screens, greasing moving parts, and checking brakes.
Repairs, replaces and rebuilds aircraft structures, functional components, and parts, such as wings and fuselage, rigging and hydraulic units.
Reads and interprets manufacturers and airline’s maintenance manuals, service bulletins, and other specifications to determine feasibility and method of repairing or replacing malfunctioning or damaged components
Tests engine operating, using testing equipment to locate source of malfunction.
Minimum Education, Licensure, or Certification (or Substitute Experience) Required:

Airframe and Power plant License Required, high school diploma or equivalent required.
Basic knowledge of operating a personal computer or laptop.
Knowledge of job-specific machines and tools, including their designs, uses, repair, and maintenance.
Capable of repairing machines or systems using the needed tools
Ability to determine the kind of tools and equipment needed to do a job
Able to perform routine maintenance on equipment and determine when and what kind of maintenance is needed.
Capable of determining causes of operating errors and deciding what to do about it.
Able to perform tests and inspections of products, services or processes to evaluate quality or performance.
Able to install equipment, machines, wiring, or programs to meet specifications
Ability to control operations of equipment or systems
Ability to use logic and reasoning to identify the strengths and weaknesses of alternative solutions, conclusions or approaches to problems.
Able to monitor gauges, dials or other indicators to make sure a machine is working properly.
Minimum Experience Required:

Minimum 1-2 years’ experience performing scheduled and unscheduled maintenance to include: 12/24/36/72 month inspections on charter and/or corporate mid-size jets.
Gulfstream model experience preferred.
 
Job Number: 368921
Job Location: Van Nuys, CA.
Per Diem: If Qualified
Overtime: Possible
Duration: Temp-Direct
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aeronautical Engineer

Description:
Location: Palmdale, CA
Rate: $70.00 - $80.00/HR
Duration: 1 year contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****
****MUST have a Bachelor's Degree****
****MUST have an Active Secret Clearance or to have had one within the last two (2) years****

Job Description:
- Candidate will be responsible for testing and developing data structures to assure accurate testing of Design and Support of the production and flight test fluid conveyance routing and installation, Electrical Wire Harness Installation and Equipment Installation and structural design.
- Verify the aerosource tool will support shop floor, flight line, and off-site aircraft build and operations.
- Will support validation that aerosource will allow for data management in the areas of creating layouts, creating new drawings and revising existing drawings using CATIA V5 to support aircraft fluid systems installations, harness installations and equipment installations.
- Will work production floor support from receipt of problem, coordination of answer to release of engineering change.
- Designs, develops, and tests a variety of power plants, aircraft, missiles, and parts, taking into consideration the most efficient use of the natural laws affecting flight.
- Establishes structural specifications, performs stress analysis, and conducts performance tests. '


Required Skills:
- Catia V5 experience is required.
- Airframe experience is required.
- Experience designing composites is a plus.
- Experience with Siemens Fibersim is a plus.

Education:
- Level 3: BS+9-15 YRS or MS+7-13 YRS
 
Job Number: 9610
Job Location: Palmdale, CA
Rate: $70.00 - $80.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aeronautical Engineer / Catia V5 airframe design composites Fibersim - Clearance URGENT NEED CA

Description:
1090-1 Aeronautical Engineer / Catia V5

Work Location: Palmdale, CA
Status: Open

Qty: 10
12 months

Job Description:
Candidate will be responsible for testing and developing data structures to assure accurate testing of Design and Support of the production and flight test fluid conveyance routing and installation, Electrical Wire Harness Installation and Equipment Installation and structural design. Verify the aerosource tool will support shop floor, flight line, and off-site aircraft build and operations. Will support validation that aerosource will allow for data management in the areas of creating layouts, creating new drawings and revising existing drawings using CATIA V5 to support aircraft fluid systems installations, harness installations and equipment installations. Will work production floor support from receipt of problem, coordination of answer to release of engineering change. Designs, develops, and tests a variety of power plants, aircraft, missiles, and parts, taking into consideration the most efficient use of the natural laws affecting flight. Establishes structural specifications, performs stress analysis, and conducts performance tests.

Catia V5 experience is required.
Airframe experience is required.
Experience designing composites is a plus.
Experience with Siemens Fibersim is a plus.

Work Location: Palmdale, CA
Level: Level 3: BS+9-15 YRS or MS+7-13 YRS
Pay Rate: $68.00 Hourly

Experience
Areas of Expertise CATIA Yes 1 4 - 6 Years
Areas of Expertise Composites and Materials No 2 2 - 4 Years
 
Job Number: JE-1090-1S5
Job Location: Palmdale, CA
Rate: 68/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aerospace Engineer - Avionics

Description:
The Aerospace Engineer, Avionics position located here in Moses Lake, WA is responsible for supporting for the focal avionic systems engineer in developing, testing and certifying systems for a project. This role requires the significant exercise of independent discretion and judgment in matters of significance.


Job Duties and Responsibilities:

Review and support Avionics Certification Activities.
Create and review Certification Plans.
Create and review Component Qualification Test Plans, Qualification Test Procedures and Qualification Test Reports.
Review qualification by similarity and/or analysis reports.
Review system safety documents (PSSA, SFHA, ADHA, SSA and Particular Risk Analysis).
Create, review and approve ground test procedures, flight test procedures and their corresponding test reports.
Perform Component Drawing Reviews.
Complete aircraft assembly and installation drawing reviews.
Participate in System Design Reviews.
Coordinate with suppliers to review technical data, certification requirements and troubleshoot any issues with supplies.
Coordinate with certification authorities to seek clarification on requirements.
Review certification guidance material (advisory circulars, etc.) and incorporate the necessary guidance into the certification plans.
Create, review and approve interface control documents and any sub level writing interface documents.
Support Avionics Flight Testing Activities, including but not limited to:
Discuss, write and review test plans and preparation activities with flight test team.
Help prepare and review pre and post flight briefing.
Monitor live flight data, typically via telemetry, during flight test on aircraft.
Process, analyze, and draw conclusions from post flight data.
Make assessments to ensure safety pf flight determination.
Document flight test design problems for long term fix.
Generate and review appropriate limitations documentation.
Complete special projects and tasks assigned by Group Lead.



Requirements
Knowledge/Skills/Abilities

Knowledge of system development activities and flight certification activities, including knowledge, skills and competency with avionic systems utilized in vehicle design (Comm/Nav/Surveillance/FMS/Displays/Recorders/CPDLC/CAS/antenna installations/VHF).
Good leadership presence as well as people management skills:
Future-oriented in thinking and operation.
Able to lead by example and live/work by company values.
Ability to successfully contribute to a positive and productive work environment.
Able to in still a sense of urgency in team members.
Able to be patient and objective in difficult situations with different types of people.
Strong customer service tool box:
Professional mannerisms, appearance and actions (self-confident and committed to high ethics).
Strong follow-through, quick thinking and resourceful.
Ability to remain calm, cool and collected in stressful situations.
Strong sense of urgency to resolve customer needs.
Strong organizational, time management and prioritization skills:
Able to multi-task, maintain focus on several different projects at one time and hit deadlines.
Able to be flexible with attention and priority.
Ability to work in a progressive, fast-paced environment (work well under pressure).
Strong analytical skills, with an ability to troubleshoot, problem-solve and effectively and efficiently make decisions.
Strong communication skills (oral, written, presentation) with both external and internal customers.
Act as an active listener, seeking to understand and then to be understood, articulating clearly and confidently.
Uses people relationship and business management skills to make decisions on what and when to communicate with employees and customers.
Excels at communicating clearly an effectively verbally, Strong proficiency in writing summaries, documents, reports, and presentations.
Strong interpersonal skills, with the ability to build strong relationships at all levels. Ability to influence others as well as relate to individuals at all level of the organization.
Good project management skills, including the ability to take ownership for accomplishing assigned tasks. Results-oriented planner and delegator who ensures that goals are met. Able to set priorities and keep to projected schedules.
Computer Skills: Comfortable and effective working in Microsoft Office. Intermediate Excel proficiency required. Able to quickly learn new software and systems.
Proven track record of improving the efficiency of assigned processes or procedures.
Education

Four (4) year degree in Engineering (Aerospace, Avionics, Electrical, or Instrumentation).
Other Engineering fields may be considered.
Experience

Aerospace engineering experience in Electrical Systems, including experience in the electrical aspects of the various systems and components and/or controllers on the airplane (Comm/Nav/Surveillance/FMS/Displays/Recorders/CPDLC/CAS/antenna installations/VHF)
 
Job Location: Moses Lake, WA
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Calderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aerospace Engineer - Electrical Systems

Description:
Role Description

The Aerospace Engineer, Electrical Systems position located here in Moses Lake, WA is responsible for supporting for the development, testing and certification of the electrical aspects of the various systems and components and/or controllers on the airplane (e.g. ECS, fuel, hydraulics, engines, fire protections, anti-ice, lights, electrical power, wiring, etc.). The role requires the significant exercise of independent discretion and judgment in matters of significance.



Job Duties and Responsibilities:

Review and support Certification Activities.
Create and review Certification Plans.
Create and review Component Qualification Test Plans, Qualification Test Procedures and Qualification Test Plans.
Review qualification by similarity and/or analysis repots.
Review system safety documents (PSSA, SFHA, ADHA, SSA and Particular Risk Analysis).
Create, review and approve ground test procedures, flight test procedures and their corresponding test reports.
Perform Component Drawing Reviews.
Complete aircraft assembly and installation drawing reviews.
Participate in System Design Reviews.
Coordinate with suppliers.
Coordinate with certification authorities.
Review certification guidance material (advisory circulars, etc.) and incorporate the necessary guidance into the certification plans.
Support Flight Testing Activities, including but not limited to:
Help determine test specification definition.
Discuss, write and review test plans and preparation activities with flight test team.
Help prepare and review pre and post flight briefing.
Monitor live flight data, typically via telemetry, during flight test on aircraft.
Process, analyze, and draw conclusions from post flight data.
Identify non-conformances, quality escapes, etc. to crate rejection tags.
Make assessments to ensure safety pf flight determination.
Document flight test design problems for long term fix.
Generate and review appropriate limitations documentation.
Write flight test result reports.
Electrical Systems.
Creating instrumentation definitions for the electrical systems (locations of instrumentation, sample rates, unit definitions, etc.)
Release of engineering documentation for component changes and new installation, ensuring appropriate configuration control
Complete special projects and tasks assigned by Group Lead.


Requirements
Knowledge/Skills/Abilities

Knowledge of flight test operations and flight certification, including knowledge of electrical aspects of the various systems and components and/or controllers on the airplane.
Good leadership presence as well as people management skills:
Future-oriented in thinking and operation.
Able to lead by example and live/work by company values.
Ability to successfully contribute to a positive and productive work environment.
Able to instill a sense of urgency in team members.
Able to be patient and objective in difficult situations with different types of people/
Strong customer service tool box:
Professional mannerisms, appearance and actions (self-confident and committed to high ethics).
Strong follow-through, quick thinking and resourceful.
Ability to remain calm, cool and collected in stressful situations.
Strong sense of urgency to resolve customer needs.
Strong organizational, time management and prioritization skills:
Able to multi-task, maintain focus on several different projects at one time and hit deadlines.
Able to be flexible with attention and priority.
Ability to work in a progressive, fast-paced environment (work well under pressure).
Strong analytical skills, with an ability to troubleshoot, problem-solve and effectively and efficiently make decisions.
Strong communication skills (oral, written, presentation) with both external and internal customers.
Act as an active listener, seeking to understand and then to be understood, articulating clearly and confidently.
Uses people relationship and business management skills to make decisions on what and when to communicate with employees and customers.
Excels at communicating clearly an effectively verbally, Strong proficiency in writing summaries, documents, reports, and presentations.
Strong interpersonal skills, with the ability to build strong relationships at all levels. Ability to influence others as well as relate to individuals at all level of the organization.
Good project management skills, including the ability to take ownership for accomplishing assigned tasks. Results-oriented planner and delegator who ensures that goals are met. Able to set priorities and keep to projected schedules.
Computer Skills: Comfortable and effective working in Microsoft Office. Intermediate Excel proficiency required. Able to quickly learn new software and systems.
Proven track record of improving the efficiency of assigned processes or procedures.
Education

Four (4) year degree in Engineering (Aerospace, Avionics, Electrical, or Instrumentation).
Other Engineering fields may be considered.
Experience

Aerospace engineering experience in Electrical Systems, including experience in the electrical aspects of the various systems and components and/or controllers on the airplane (e.g. ECS, Fuel, hydraulics, engines, fire protections, anti-ice, lights, electrical power, writing, etc.).
 
Job Location: Moses Lake, WA
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Calderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aerospace Manufacturing Engineers

Description:
Up to 4 year contract in Savannah, GA for Manufacturing Engineer
================================================
Primary responsibilities will be to create and continually update Aircraft Configuration reports which reconcile the 'As-Built' configuration to the Engineering Design in support of flight test aircraft conformity events and engineering projects. Must be proficient at utilizing multiple reporting tools to pull data from multiple sources (including, but not limited to):
SAP, SmarTeam, Solumina, Web-based reports, Microsoft Excel, Cognos, SharePoint, Power BI and MyCMP.
Analyze and organize data package to create the end-user reports.

Education and Experience Requirements:
Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. 4 years manufacturing engineering, planning, or related technical experience.
==========================================
Email your resume and then call Peggy at 774-210-4108 to discuss.
 
Job Number: PL Mfg Eng
Job Location: Savannah, GA
Rate: $55/per hr.
Per Diem: Split
Overtime: possible
Duration: Up to 4 years
Start Date: 2 weeks
Input Date: 12/02/2020
Last Updated: 01/06/2021
Firm Name: ADVANCED TECHNOLOGY
Attention: Peggy Sr. Recruiter
Address: 15 KENNETH MINER DR
City, State: WRENTHAM, MA 02093
800 Phone: 877/334-7700
Fax Phone: 877/335-7700
Website: www.advancedtechno.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aircraft Drafters

Description:
STS Technical Services is Hiring Aircraft Drafters in Melbourne, Florida!

We are a premiere staffing agency providing high-paying contract and direct-hire employment opportunities. We’d love to partner with you to help you take your career to new heights. Check out the following information about this incredible employment opportunity we have right now with a great client of ours.

Here’s What You’ll Be Doing:
The design will be Mechanical Design (not electrical) for Executive Aircraft only (Phenom100-Lineage1000)

Qualifications You’ll Need To Have:
3D Modeling, preferably in Catia, but Solidworks or similar will do

Benefits:
STS Technical Services offers direct deposits / electric payroll, an awesome medical insurance program, cash advances, and the opportunity to refer a friend for compensation.

We know it takes great people to do great work, so if you’re interested in joining the STS Aviation Group family, we encourage you to apply on this page. Have questions? Give us a call at 1-800-359-4787.

Thanks for taking the time, and we look forward to hearing from you soon.
 
Job Number: 44844
Job Location: Melbourne, FL
Duration: Contract
Input Date: 11/14/2020
Firm Name: STS AEROSTAFF SERVICES
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Airworthiness Inspectors

Description:
STS Technical Services is currently hiring Airworthiness Inspectors in Long Beach, California.

Looking to take your career in aviation to new heights? Check out this amazing opportunity with a great client of ours. The Airworthiness Inspector physically inspects aircraft, aircraft components, and reviews paperwork to ensure that workmanship meets acceptable standards of quality, and that customer aircraft are returned to service in an airworthy condition with all required documentation properly completed and filed.

What You’ll Be Doing:
Responsible for all Quality Control inspections on aircraft/components in the Repair Station
Completes inspections of aircraft, engine, and component repairs and alterations to ensure conformity to FAA approved/acceptable data
Coordinates mechanical, electrical and inspection requirements on the aircraft during maintenance or outfitting
Keeps accurate, neat and legible records of the work performed on aircraft/components
Coordinates throughout assigned jobs with RTS to ensure accurate aircraft records are produced in a timely manner
Conducts audits of maintenance documentation for accuracy and completion on all aircraft prior to approval for return to service
Ensures aircraft/components are airworthy prior to any flight activities
Mentors technicians on effective documentation

Additional Responsibilities:
Communicates with management as required to identify work assignments and delivery schedules
Continually monitors repair station compliance with FAR Part 145 and the FAA accepted site Repair Station and Quality Control Manual
Completes FAA required. conformity inspections and complies certification data for presentation to the FAA for approval
In support of aircraft certification and final phase testing, may include flight tests on aircraft as required
Perform other duties as assigned

Benefits:
STS Technical Services offers direct deposits / electric payroll, an awesome medical insurance program, cash advances, and the opportunity to refer a friend for compensation.

We know it takes great people to do great work, so if you’re interested in joining the STS Aviation Group family, we encourage you to apply on this page. Have questions? Give us a call at 1-800-359-4787.

Thanks for taking the time, and we look forward to hearing from you soon.
 
Job Number: 44771
Job Location: Long Beach, CA
Duration: Contract
Input Date: 11/14/2020
Firm Name: STS AEROSTAFF SERVICES
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Design Engineer

Description:
This position is responsible for Design, modification and integration of custom avionics and electrical packages for new rotary aircraft. We are seeking a minimum of 6 years of previous experience in an Engineering role in Aviation or Aerospace manufacturing environment. Must be proficient in MS Office and AutoCAD.
Education: Required: Bachelor's degree in Electrical/Aeronautical from an accredited college/university
Preferred: Master's Degree from an accredited college/university in engineering.
This position requires a US Person as defined by ITAR regulations.
 
Job Number: 943127
Job Location: Columbus, MS
Rate: $ 65.-75./ hrly
Per Diem: yes
Overtime: possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: HI-TEC PROFESSIONAL SOLUTIONS INC
Attention: Anne Cameron
Address: P O BOX 965280
2886 SANDY PLAINS RD

City, State: MARIETTA, GA 30066
Phone: 770/575-5855
Fax Phone: 770/575-5856
Website: www.hi-tecsolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Design Engineer

Description:
This position is responsible for Design, modification and integration of custom avionics and electrical packages for new rotorcraft aircraft. We are seeking a minimum of 6 years of previous experience in an Engineering role in Aviation or Aerospace manufacturing environment. Must be proficient in MS Office and AutoCAD.
Education: Required: Bachelor's degree in Electrical/Aeronautical from an accredited college/university
Preferred: Master's Degree from an accredited college/university in engineering
This position requires a US Person as defined by ITAR regulations.
 
Job Number: 943114
Job Location: Grand Prairie, TX
Rate: $ 65.-75./ hrly
Per Diem: yes
Overtime: possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: HI-TEC PROFESSIONAL SOLUTIONS INC
Attention: Anne Cameron
Address: P O BOX 965280
2886 SANDY PLAINS RD

City, State: MARIETTA, GA 30066
Phone: 770/575-5855
Fax Phone: 770/575-5856
Website: www.hi-tecsolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Design Engineer

Description:
Sr. Avionics Design Engineer (Contract) This position is responsible for Design, modification and integration of custom avionics and electrical packages for new AHI aircraft. We are seeking a minimum of 6 years of previous experience in an Engineering role in Aviation or Aerospace manufacturing environment. Must be proficient in MS Office and AutoCAD.
 
Job Location: Texas and Mississippi
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Address: 1600 AIRPORT FWY STE 400
City, State: BEDFORD, TX 76022-6871
Phone: 817/545-4009
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Electrical Systems Engineer

Description:
Avionics Electrical Systems Engineer assists with the planning, coordination, performance of design, analysis and liaison engineering supporting the development and production of company products and services Principal Duties and Responsibilities: Essential Functions: 1. Contributes to planning, developing and coordinating of important engineering projects 2. Provides specialized technical assistance to team members 3. Uses advanced techniques and modified extension of theories to provide technical solutions to a wide range of difficult problems 4. Determines and develops own approach to solutions within schedule and cost objectives 5. Works under limited supervision; work should only be required to be reviewed for accuracy and consistency with meeting overall objectives 6. Acts as liaison with representatives outside of the assigned group/area Additional Functions: 1. Actively works to improve daily processes and ensures all work meets customer requirements 2. Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product 3. Performs other duties as assigned Other Requirements: 1. Advanced degree in engineering related field preferred 2. The level of technical skill and abilities appropriate for this grade will be established by each discipline.

Education and Experience Requirements:
Bachelor’s degree in engineering, a related curriculum, or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. See Other Requirements in Job Description. 5 yrs. in the specific technical discipline or 10 yrs. broad engineering experience in several technical disciplines with Bachelors, or 3 yrs. in the specific technical discipline with Masters, or 1 yr. in the specific technical discipline with PhD.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Subsystem Lead Engineer

Description:
seeking an Avionics Subsystem Engineer with strong experience enabling him/her to be responsible for all activities related to the design, development, integration, testing and certification of the primary avionics, secondary avionics, pitot statics, navigation, synthetic vision, enhanced vision, communications, antennae, radar, data acquisition, safety systems, health monitoring & reporting and integrated modular avionics. The successful candidate will manage the various avionics systems design and system’s suppliers, as well as all design and certification activity required. The Avionics Subsystem Lead Engineer will work out of our Reno, NV, headquarters and report to the Director of Cockpit IPT.

Duties include, but are not limited to:
• Develop avionics systems design, architecture and product plan, including qualification and certification plans
• Provide subject matter expert level technical leadership, mentoring and guidance on both practical and theoretical aspects of avionics systems
• Define requirements for the avionics systems to ensure compliance with regulations and customer requirements
• Provide validation and verification of avionics systems requirements
• Perform avionics systems tests and certification, and provide system and certification documentation
• Interface directly with avionics systems suppliers
• Manage the avionics scheduling, budget and resources
• Report subsystem status to ensure milestones are met in support of the overall program schedule

Requirements:
• Must be either a US citizen, a US permanent resident or someone who otherwise meets the requirements of a US Person under EAR part 772 and ITAR 120.12
• Cannot be party of any restrictive covenant, non-compete, non-solicitation, or non-disclosure / confidentiality agreement with current or any former employer that would prevent you from fully performing the duties of the position
• Minimum of a Bachelor’s Degree in Aerospace, Electrical Engineering or other relevant Engineering position
• Master’s degree in a technical and/or management specialty preferred
• 10+ years of deep, hands-on experience in Avionics systems development, design and testing in Military, Commercial and/or business jet aircraft
• 3+ years of demonstrated experience leading technical resources and teams, both internally and externally, as well as both on-site and off-site
• In-depth and current knowledge of Part 25 regulatory requirements
• Experience and expertise in the development of commercial and/or high-end business jets strongly desired
• Recent experience in developing avionics systems from concept through certification strongly desired
 
Job Number: 42-005
Job Location: Reno, NV
Rate: DOE but very competitve
Per Diem: YES
Overtime: YES
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionic System Engineer

Description:
General Summary:
The Avionics System Engineer will be responsible for the design, development, and integration of the assigned avionics systems for the aircraft.

Duties and Responsibilities:
• Perform systems level integration activities for aircraft avionic systems including: Control and Display Systems; Navigations Systems; Communication Systems; Surveillance Systems; and Cabin Management Systems
• DO-160F/G, DO-178B and DO-254 experience required.
• Define, plan, and develop complex systems level requirements to support the avionic systems for the aircraft
• Provide technical assistance to production and customer service departments for support of system technical inquires and issue resolution
• Perform system evaluation and analysis to verify the system design compliance with functional requirements
• Conduct system lab testing and aircraft functional testing
• Prepare presentations on avionics system design/development status
• Support system analyses for avionic systems

Qualifications
Education, Work Experience, Certification and/or Licensure:
• Bachelors’ degree in Engineering; preferably in Electrical/Electronics Engineering or Aerospace Engineering
• Minimum of 6 years to a maximum of 10 years’ experience working with integrated aircraft avionic systems in the areas of design engineering, system analysis, and system test

Knowledge, Skills and Abilities:
• Working knowledge of design requirements of 14CFRPart 23
• Proficiency in writing technical documentation and reports involving test data and analysis
• Familiarity with avionics/electrical test laboratories and lab equipment
• Working knowledge of System qualification requirements including DO-160E/F for environmental, DO-178B for software, and DO-254 for complex electronic hardware
• Proficiency in requirements management tools such as DOORS
• Experience with PLM Tools such as TeamCenter and SAP

The Structures Company is an AA/EOE.
 
Job Number: 253
Job Location: NC
Rate: $60/Hr.
Per Diem: Yes
Overtime: Yes
Duration: 12+ Months
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
C6141 Electro-Mechanical Tech I

Description:
Primary Function: Conducts preliminary diagnostic analysis of products for repair and/or troubleshooting using schematics, diagrams, and system knowledge.

Major Responsibilities:

  • Demonstrates good mechanical and/or electrical aptitude.

  • Has experience with automotive, truck or boat mechanics or electrical systems.

  • Able to disassemble mechanical and electrical vehicle components.

  • Able to install new mechanical and electrical vehicle components.

  • Able to conceive of and prototype simple mechanical devices such as armor plate, brackets, fixtures, etc.

  • Demonstrates basic cutting, grinding, drilling skills and/or soldering, wiring, and electrical fabrication skills.

  • Good problem solving skills.

  • Works on assembly of products to meet defined schedule.

  • Assembles pre-made mechanical components according to print, manual, or verbal instruction.

  • Tracks performance by updating assigned metrics.

  • May, train or guide staff with less experience in particular products.

  • Follows released and standard procedures to assemble products.

  • Conducts troubleshooting of parts and products using schematics and drawings.

  • Takes direction from more senior staff.

  • Demonstrates the ability to work independently and with limited supervision as needed.


Position Requirements:


  • High school diploma

  • 0 -2 years of experience conducting related electrical/mechanical assembly tasks.



 
Job Number: 18-47441
Job Location: Columbus, OH
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2019-05-25 00:00:00.0
Start Date: 2018-11-26 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Aaron Ludwig
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
CNC Machinist

Description:
CNC Machinist applies advanced skills in administration and use of procedures, techniques, tools, materials and/or equipment, as appropriate to area of specialization. 2. Independently perform repairs of mechanical and electrical assemblies and subassemblies through removals, repair or replacement of defective parts and/or fabrication of replacement parts, and installations in accordance with blueprints, component maintenance manuals, vendor documents, verbal instructions, engineering dispositions, and other authorized maintenance data. All activities to be in accordance with Repair Station requirements ensuring all parts and assemblies meet conformance. 3. Set up and operate necessary test equipment in accordance with required documentation to perform functional and operational tests of mechanical and electrical aircraft components for return to service. 4. Inspect all detail parts using blueprints, component and/or overhaul manuals and other required maintenance data to ensure conformity requirements are met. 5. Remove skins and related components on flight controls and secondary structure components, locate and install/reinstall parts. 6. Demonstrate expertise and efficiency in repair techniques using acceptable practices including troubleshooting, soldering and application of protective coatings. 7. Demonstrate expertise in use, maintenance, and calibration requirements of precision measuring and inspection tools such as, but not limited to, micrometers, calipers, bore gauges, multimeters, watt meters, spectrum analyzers, and borescopes. 8. Demonstrate expertise in the flow of materials and work order processes within the repair station. 9. Maintain and utilize all required paperwork in accordance with FAA Repair Station requirements. 10. Maintain a clean and orderly work area. 11. Comply with all company and legislative environmental health and safety regulations. Must be proficient in the setup and operation of 3-5 axis CNC Mills. Must be able to setup and operate conventional mills and lathes and use related precision measuring tools to inspect machined detail components to blueprints / 3D models.


CNC Machinist Lay-out, remove, fabricate and/or install major and minor repairs to flight controls and other secondary structure components using honeycomb core sandwich construction techniques, composite repair techniques, test bench procedures, hydraulic press procedures, and servicing carts. 2. Fabricate and repair sheet metal and associated mechanical parts, components and/or equipment by rolling, shrinking, stretching, braking, riveting or other mechanical means. 3. Remove & install APUs and perform all required APU maintenance for all aircraft models 4. Perform repairs to electronic assemblies and subassemblies by removing and replacing circuit components, either through hole or surface mount, using acceptable soldering and circuit repair techniques. 5. Fabricate and repair wiring and electrical assemblies and harnesses utilizing acceptable repair and fabrication processes including splicing, soldering, and pinning of wires. 6. Assist with training and instructing of other Acft Compo Repair I and II Techs. 7. Perform other duties as assigned.
HS diploma or GED. A&P license, FCC license, or NCATT cert preferred. Able to read/interpret blueprints, engrg docs and use/read precision measuring tools. Proficient in the operation of all tools & equip in the dept. Basic understanding of shop math. 4 yrs. experience in one of the following or other related expr: Sheetmetal, hydraulic overhaul, avionics/elect compo repair. Accredited schooling in electronics and elect sys may offset some experience reqmts. APU removal, install, service.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Design & Analysis Engineer

Description:
**Must be a U.S. Person for purposes of export compliance. A U.S. Person is defined as either a Green Card Holder or U.S. Citizen.**

**Intermediate skills in electronic systems design and analysis in the aerospace industry is required.**

Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: 33523
Job Location: OK
Rate: open
Per Diem: split
Overtime: possible
Duration: 6 months
Start Date: asap
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Erica Price
Address: WESTRIDGE OFFICE PARK
2646 HIGHWAY 109 STE 207

City, State: WILDWOOD, MO 63040
Phone: 636/438-0312
800 Phone: 866/277-3343
Fax Phone: 636/220-8284
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Digital Design Engineer

Description:
Job Description:


  • Client is seeking an individual with specialized skills in digital design tools with a firm background in computer operating systems and processes necessary to develop Client and unique digital circuit blocks and apply advanced algorithms in next generation silicon processes.

  • Primary job functions will include system administration, installation, and maintenance of an advanced circuit design environment consisting of commercial- and government- developed electronic design software.

  • Other duties may include supporting analog and RF design, developing standard cell libraries, and testing fabricated chipsets.

  • The selected candidate will represent our Client and DoD customers in outside discussions and technical forums.

  • This position will require some travel and require a security clearance.




Required Skills & Experience


  • A BS Degree in Electrical/Electronic Engineering or Computer Science with five (5) years of experience is required.

  • The applicant must be familiar with the Red Hat enterprise operating system or comparable Linux distributions, installation, maintenance, port security, backup, and shell scripting.

  • Familiarity with installation and maintenance of the advanced Cadence Design Systems IC design flow, Synopsys, and Mentor Graphics tools is required.

  • The applicant must be capable of debugging and troubleshooting electronic design tool installation/maintenance and interaction with 3rd party tools and process design kits.

  • Experience in deriving verification test benches from detailed specifications is required.

  • Familiarity with at least one (1) commercial scripting programming language such as

  • PERL or Python is required.




Desired Skills and Experience

Additional experience in digital circuit design is desired, including: Verilog/VHDL behavioral modeling, Digital IC design for manufacturing (JTAG insertion, test vector generation, Built-In Self-Test), an understanding of physical design issues including layout, familiarity with memory compilers, Cadence Skill code development, and fundamentals of Process Design Kits (PDKs).



Special Requirements/ Certifications:

Must be US citizen and eligible for security clearance. The National Agency Check with Inquiries (NACI) is a background investigation primarily for federal employees who will not have access to classified information. DoD clearance is not required.

Opportunity for contract-to-hire based on worker performance, worker attendance and dependability and client business needs
 
Job Number: 18-12267
Job Location: Dayton, OH
Rate: 0.0USD/Hour
Per Diem: SPLIT
Overtime: Possible
Duration: 2018-08-10 00:00:00.0
Start Date: 2018-05-13 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Cody Grimes
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
ELECTRONICS DESIGN ENGINEER

Description:
JSG is seeking an Electronics Design Engineer for our Aerospace Client in Glendale, CA.
LOCAL CANDIDATES ONLY - Direct Hire

Work as part of a world-class, multi-disciplinary team to develop ruggedized electronic products incorporating embedded microprocessors, and transition them into production for aerospace, military, sub-sea, and other harsh-environment applications.

Job Description:

Work from Marketing Requirements to develop electronic hardware designs incorporating embedded microprocessors responsive to the requirements, including
Product design architecture
parts and vendor selection
detailed hardware design and schematic generation and capture in PADS
preliminary parts layout for fit checks
work with PCB layout design team and PCBA vendors
work with mechanical design team
develop firmware/scripts/applications to test hardware
Debug and troubleshoot new designs
Execute functional verification of electrical subsystems
Support manufacturing for all designs including microprocessors, including
Work with Test Engineering to develop appropriate acceptance test stations in production
Troubleshoot problems in production and at customer sites
Work with Product Marketing and Business Development to prepare responses to customer Requests for Proposals
Customer specification review
Preliminary design concepts
Estimate engineering effort to execute designs and firmware/software
Preliminary bill of materials and costing
Basic Qualifications

Bachelor’s degree in Electrical Engineering, Computer Science, related filed or equivalent experience
10+ years overall experience in hardware design
High level of expertise in embedded microprocessor design and programming (C/C++, etc.)
Experience with Ethernet product design (10/100/1000BASE-T interfaces, PHYs, unmanaged switches.) 10GBASE-T interface experience a plus.
Skilled in the design and use of common I/O protocols (I2C, USB, JTAG, Ethernet).
High level of experience with hands-on troubleshooting and turn-on of new circuitry, including test bench equipment such as multi meters, DAQs, spectrum analyzers, JTAG and ICE probes, software, oscilloscopes, etc.
Experience in qualifying embedded systems to MIL-STD-810 MIL-STD-461 or IEC equivalents
Experience in failure analysis and troubleshooting skills in a production and R&D environment
Experience with prototyping solutions and bench testing methodology
Experience with test automation with background in scripting
Excellent technical writing skills and experience writing hardware, software, and interface requirements along with qualification test plans and procedures.
Able to handle ITAR data. Must be US Person or have Green Card.

Preferred Qualifications

Experience with military systems with battery charging, embedded protocol transceivers, microprocessor and FPGA-based systems
Experience with Ethernet product design and testing (Ethernet switch ICs, fiber-optic media converters, etc.)
Experience with digital video systems testing
HD-SDI, 3G-SDI, HDMI, DVI, DisplayPort
 
Job Number: 368920
Job Location: Glendale, CA.
Per Diem: No
Overtime: possible
Duration: Direct
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer III - (Everett & Seattle)

Description:
Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEWA
Job Location: Seattle, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer IV & V - (OK)

Description:
Several openings in Oklahoma City, OK.

Active Secret Clearance, NOT required to start.

Leads work with customers to develop and document complex electronic and electrical system requirements. Leads and coordinates work to analyze and translate requirements into system architecture, hardware and software designs and interface specifications. Leads work to test and validate to ensure system designs meet operational and functional requirements. Oversees the team that monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches technology advances for potential application to company business needs. Makes recommendations for technology investments.

Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.
 
Job Number: EEOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Design Engineer 4

Description:
Position Purpose:
Guides successful completion of major engineering programs, or conceives research in areas of considerable scope and complexity supporting the development and production of Gulfstream products and services.

Unique Skills:
•AutoCAD required, Catia V5and CHS preferred
•Experience with power distribution, lighting, switching, sizing and routing.
•Familiarity in mechanical system design
•Familiarity with aircraft STC procedures preferred. 9GV,GVI, and GVII electrical system design experience preferred.
•14 CFR 25 Subpart H - EWIS experience preferred
•Part 25 Certification Experience for Cabin Interiors preferred
•Familiarity with aircraft cabin satellite communication (Inmarsat and Iridium), security and entertainment systems.
•Bachelor's degree in Electrical Engineering or technical related curriculum applicable to the respective job position required

Education and Experience Requirements:
•Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
•Ten (10) yrs in specific technical discipline or fifteen (15) yrs broad eng experience in several technical disciplines with Bachelors.
•Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.

Principle Duties and Responsibilities:
•Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues
•Is consulted extensively by associates and others as a recognized authority within the field
•Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities
•Approach to problem solving balances technical product, cost and schedule
•Works without appreciable direction; work is reviewed from a long-range perspective for desired results
•Exercises latitude in determining technical objectives of assignments, and makes decisions and recommendations that are recognized as authoritative
 
Job Number: 24-288
Job Location: Savannah, GA
Rate: $58 - $63
Per Diem: YES
Overtime: YES
Duration: 1 Year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Design Engineer

Description:
Location: Savannah, GA
Rate: $68.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
1) AutoCad required, Catia V5 preferred
2) Gulfstream Completions electrical system design experience preferred.
3) 14 CFR 25 Subpart H - EWIS experience preferred
4) Part 25 Certification Experience for Cabin Interiors preferred
5) Familiarity with aircraft cabin satellite communication (Inmarsat and Iridium), security and entertainment systems.
6) Experience with power distribution, lighting, switching, sizing and routing.
7) Familiarity in mechanical system design; to include pneumatic supply, oxygen, water & drain, HVAC and pressure control is preferred.
8) Familiarity with aircraft STC procedures preferred.

Education and Experience Requirements:
Bachelor's Degree in engineering or related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Thirteen (13) yrs specific technical discipline or fifteen (15) yrs broad eng experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.

Position Purpose:
Works on engineering projects containing critical problems, the solution of which requires major technological advances and extensive related development

Principle Duties and Responsibilities:
Essential Functions: 1. Responsible for an entire engineering project of major complexity within established scope 2. Makes technical decisions and recommendations that are recognized as authoritative and have an important impact on extensive engineering activities 3. Applies advanced technical principles, theories and concepts based on broad expertise or unique specialized knowledge 4. Leads the development of innovative principles and ideas 5. Acts independently to determine improved methods and/or procedures to optimize technical product, cost and schedule requirements 6. Works under consultative direction; uses independent judgment to accomplish objectives 7. Develops standards and guides for diverse engineering activities 8. Conceives and plans investigation of broad areas of importance for which engineering precedents are lacking in areas critical to the program Perform other duties as assigned.

Other Requirements: 1. Advanced degree in engineering or related curriculum preferred
The level of technical skill and abilities appropriate for this grade will be established by each discipline.
 
Job Number: 10108
Job Location: Savannah, GA
Rate: $68.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Design Engineer

Description:
Location: Savannah, GA
Rate: $58.00 - $63.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
1) AutoCad required, Catia V5and CHS preferred
2) GV,GVI, and GVII electrical system design experience preferred.
3) 14 CFR 25 Subpart H - EWIS experience preferred
4) Part 25 Certification Experience for Cabin Interiors preferred
5) Familiarity with aircraft cabin satellite communication (Inmarsat and Iridium), security and entertainment systems.
6) Experience with power distribution, lighting, switching, sizing and routing.
7) Familiarity in mechanical system design
8) Familiarity with aircraft STC procedures preferred.
9) Bachelor's degree in Electrical Engineering or technical related curriculum applicable to the respective job position required

Education and Experience Requirements:
Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Ten (10) yrs in specific technical discipline or fifteen (15) yrs broad eng experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.

Position Purpose:
Guides successful completion of major engineering programs, or conceives research in areas of considerable scope and complexity supporting the development and production of Gulfstream products and services.

Principle Duties and Responsibilities:
Essential Functions: 1. Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues 2. Is consulted extensively by associates and others as a recognized authority within the field 3. Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities 4. Approach to problem solving balances technical product, cost and schedule 5. Works without appreciable direction; work is reviewed from a long-range perspective for desired results 6. Exercises latitude in determining technical objectives of assignments, and makes decisions and recommendations that are recognized as authoritative

Additional Functions: 1. Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product Perform other duties as assigned.

Other Requirements: 1. Advanced degree in engineering, or related curriculum preferred
The level of technical skill and abilities appropriate for this grade will be established by each discipline.
 
Job Number: 9857
Job Location: Savannah, GA
Rate: $58.00 - $63.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical harness/cable engineer

Description:
Seeking senior electrical harness/cable engineers. The candidate will mentor, coach and lead lesser experience engineers providing knowledge transfer to aid in growing our next generation of engineers.

Other job responsibilities may include
• Provides guidance in the development of cable/wire harness detail and assembly designs.
• Provides guidance in the development of electrical product detail, assembly and installation designs.
• Provides direction used by multiple teams to analyze complex results and performs design reviews.
• Provides guidance in engineering design disposition on discrepancies. Defines processes, specifications and procedures that support the design and manufacture of electrical commodities.
• Provides direction used by multiple teams to develop complex statements of work, metrics, schedules and budgets.
• Directs the technical review of supplier capabilities to facilitate source selection.
• Directs the development of functional and physical design requirements to ensure complete integrated design architecture.
• Works under consultative mentorship

Education/experience:
BDegree and typical experience in engineering classification: Bachelor's 14 or more years' experience, Master's with 12 or more years' experience or PhD with 9 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.

Active U.S. Security Clearance is A Plus
Previous experience in the defense or aerospace industry
Previous experience in Electrical Harness/Cable/Wire Design and Routing
 
Job Number: Harness_OK
Job Location: Oklahoma City,, OK
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical EWIS Engineer

Description:
Electrical EWIS Engineer Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues 2. Is consulted extensively by associates and others as a recognized authority within the field 3. Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities 4. Approach to problem solving balances technical product, cost and schedule 5. Works without appreciable direction; work is reviewed from a long-range perspective for desired results 6. Exercises latitude in determining technical objectives of assignments and makes decisions and recommendations that are recognized as authoritative 

Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product Perform other duties as assigned.

Develop electrical wiring interconnect system (EWIS), capable of resolving all technical issues relating to EWIS. Produce and maintain wire harness assemblies, utilizing CATIA V5 Electrical and Sheet Metal Work Benches. Capable of interfacing with wiring diagrams, systems, and EMI/EMC requirements. Must have intimate knowledge of and ability to assign wires/cables to their correct harness assembly, based on EMI/EMC and system separation or system functionality requirements while meeting all installation and maintainability requirements. • Aerospace Experience is required • Excellent verbal and written communication skills, and computer skills (PC/MS Office) are required • Candidate must be well-organized and able to balance multiple tasks, detail oriented, possess good judgment, and exercise initiative • Knowledge of Catia V5 Electrical is required





Education and Experience Requirements:

Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required. 10 yrs. in specific technical discipline or 15 yrs. broad Eng. experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs. for Masters and 4 yrs. for PhD in fields applicable to this job. 
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
AutoCad required, Catia V5and CHS preferred. 14 CFR 25 Subpart H - EWIS experience preferred. Part 25 Certification Experience for Cabin Interiors preferred. Familiarity with aircraft cabin satellite communication (Inmarsat and Iridium), security and entertainment systems. Experience with power distribution, lighting, switching, sizing and routing. 7) Familiarity in mechanical system design. Familiarity with aircraft STC procedures preferred. Bachelor's degree in Electrical Engineering or technical related curriculum applicable to the respective job position required.
 
Job Number: 18-140257
Job Location: Southeast
Per Diem: Split
Overtime: Possible
Duration: Year +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: AGENTE TECHNICAL
Attention: Alexa Bui
Address: 714 CENTERPARK DR STE 140
City, State: COLLEYVILLE, TX 76034-2852
Phone: 972/415-4545
800 Phone: 866/758-2494
Fax Phone: 866/717-5927
Website: www.agentetech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Must Be Eligible for a Clearance

Proven and demonstrated experience with integration of electrical / electronic components and associated software/hardware into ground combat vehicles

Experience with network-based vehicle (combat or automotive) architectures

Experience trouble shooting Vetronics systems required

Experience with link-based (e.g., CAN) diagnostic systems required

- Experience with J1939 CAN protocol

- Experience with SIL and vehicle-based Vetronics testing

- Familiarity with TCP/IP and UDP protocol
 
Job Number: 45414
Job Location: MI
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Our client is looking for an Electrical Engineer in Slidell, Louisiana! Apply today if you have the skills!

The ideal candidate for this position will perform the following tasks:
* Under general supervision and technical guidance, performs engineering work requiring the independent evaluation, selection and application of standard techniques, conventional procedures and criteria as contained in available Engineering reports, specifications, manuals and handbooks.
* Performs theoretical analysis and mathematical calculations, as the basis for practical application.
* Formulates and writes reports, specifications and procedures required of the assignment.
* Develops details of assignments by making minor adaptations and modifications to current state of the art techniques, based on basic engineering theory and ingenuity.
* Works with breadboards or models.
* Coordinates work of draftsman or technicians assigned to the project.
* Receive and act on instructions as to the general approach, specific assignment objectives, points of emphasis, anticipated difficulties and possible solutions.
* Create Engineering model and drawing using SolidWorks and AutoCAD
* Performs other job-related duties as assigned by management.

Mandatory Qualifications and Experience:
* Bachelor of Science Degree in Electrical Engineering
* 3 plus years of experience of practical engineering experience.
* Must be knowledgeable in 3 or more of the following areas:
- Electrical/Electronics component and system integration and analysis
- Electrical power distribution system design and electrical load analysis
- Electrical circuit design and analysis
- Electromotive components system design and integration
- Electro-mechanical and servo control mechanism design and integration.
- Electrical/Electronic instrumentation and data acquisition.
- Experience in electrical engineering skills in a lab environment

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129221
Job Location: New Orleans, LA
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Candidate will develop wiring diagrams and wire harness assembly build drawings using the Mentor Graphics Capital tool suite. The candidate will perform the work within the customer instance of these applications on the customer network. Candidate will participate in regular team tag-ups and be responsible for reporting status on the drawings for which they are specifically responsible for. The candidate shall be proficient in the use of Mentor Graphics Capital Logic and Capital Harness XC applications.

Required: Bachelor of Science degree with 5 years of experience.

Candidate must be a U.S. Citizen. This is position will potentially last 3 -6 months, located in Oklahoma, OK.
 
Job Number: 18-277
Job Location: Oklahoma City, OK
Rate: TBD
Per Diem: yes
Overtime: possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: HI-TEC PROFESSIONAL SOLUTIONS INC
Attention: Anne Cameron
Address: P O BOX 965280
2886 SANDY PLAINS RD

City, State: MARIETTA, GA 30066
Phone: 770/575-5855
Fax Phone: 770/575-5856
Website: www.hi-tecsolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Location: Grand Prairie, TX
Rate: DOE
Duration: 1 year contract
Shift: 1st Shift
Overtime: Possible
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****

Job Description:
- FPGA or ASIC design verification for safety crucial systems.
- Job responsibilities include analysis and review of requirements, test plans, verification implementation, and generating documentation associated with the qualification of safety critical hardware.


Skill/Experience/Education
Mandatory:
- Firm understanding of how to verify hardware design requirements.
- Hardware/Software Test - Derive test requirements, generate test plan, generate test procedures, conduct test, generate test report.
- Familiarity with nuclear regulatory or DO-254 processes
- Firm understanding and experience with verification process for safety critical systems.
- Familiarity with FPGA design verification using SystemVerilog.
- FPGA or ASIC Design Verification - Understanding of programmable logic using FPGAs.
- Ability to read and understand VHDL and SystemVerilog.
- Able to interpret requirements and validate verification implementation.
- Familiarity with managing subcontractors.


Desired:
- Firm understanding of SystemVeriflog and FPGA verification processes (constrained random testing, code coverage, clock domain crossing, etc.).
 
Job Number: 10043
Job Location: Grand Prairie, TX
Rate: DOE
Per Diem: Split Possible
Overtime: Possible
Duration: 1 yr
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Electrical Engineer reports to the Engineering Supervisor, the Electrical Engineer will be responsible for the planning, design and oversight of the installation, operation and maintenance of electrical components, equipment and systems.  They will perform standard engineering assignments usually representing a significant portion of a larger project and will select engineering techniques to solve problems and make design recommendations.





Electrical Engineer participates as a team member in a specific phase of a broad engineering assignment.
Directs preparation of appropriate documentation for assigned projects.
Provides technical leadership to a small group of temporarily assigned engineers and technicians.
Ensures successful completion of the assigned project phase within the budgeted time and cost constraints.
Participates in defining engineering approaches, and in planning and scheduling work.
Cover the capacity gaps in documentation for delivery milestones.






Bachelor’s Degree from a four-year college or university and a minimum of five (5) years’ experience 




Aircraft electrical design experience
Experience with Catia
 
Job Location: Everett, WA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer (Software Development) - (Renton)

Description:
Required qualifications:
• Electrical Engineering experience with an emphasis in software development
• Experience working with two or more of the following: web application development, mobile application development, object oriented design and analysis, networking, unit testing, systems administration, and/or developing large software systems
• Experience with hardware and software configuration management
• Familiarity with and the ability to program with Visual Basic and C#
• This position must meet Export Control compliance requirements, therefore a “US Person” as defined by 22 C.F.R. § 120.15 is required. “US Person” includes US Citizen, lawful permanent resident, refugee, or asylee.

Typical Education & Experience:
Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.


Preferred qualifications:
• Critical technical skills include proficiency in airplane digital signals and low voltage data acquisition
• Ability to create and update drawings with Auto Cad and/or Autodesk inventor
• Experience with digital data busses such as ARINC 429/717.
• Experience with aviation connector interfaces and packing such as ARINC 600.
• Knowledge of web design and maintenance
• Experience programming PLCs and HMIs
• Experience with National instruments Lab Windows CVI.
• Experience with equipment configuration control through Version Description Documents and/or Equipment Documents
• Experience using aviation interfaces such as synchros, resolvers, Linear Variable Displacement Transducers (LVDTs) and variable reluctance proximity sensors
Bachelor of Science degree in one of the following areas is highly preferred
Aeronautical Engineering
Aeronautics & Astronautics Engineering
Aeronautical Engineering Technology
Chemical Engineering
Civil Engineering
Aerospace Engineering
Electrical Engineering Technology
Manufacturing Engineering
Manufacturing Engineering Technology
Mechanical Engineering
Manufacturing Systems Engineering
Material Sciences and Engineering
Industrial & Systems Engineering
Mechanical Engineering Technology
Electrical Engineering
Metallurgical and Materials Engineering
Plastics Engineering
Plastics Engineering Technology
Structural Engineering

Bachelor's and 5 or more years' experience, Master's degree with 3 or more years' experience or PhD degree with experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EERenton
Job Location: Renton, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Janice Plattner
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer - AutoCAD

Description:
Cabin Management systems, in-flight entertainment. Military avionics experience may be considered. AutoCAD
 
Job Number: 18-12794
Job Location: TX
Per Diem: Split
Overtime: Possible
Duration: Possible Year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: AGENTE TECHNICAL
Attention: Alexa Bui
Address: 714 CENTERPARK DR STE 140
City, State: COLLEYVILLE, TX 76034-2852
Phone: 972/415-4545
800 Phone: 866/758-2494
Fax Phone: 866/717-5927
Website: www.agentetech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer Level 4

Description:
We are seeking an Electrical Engineer with a strong avionics/electrical systems background for our client in Florida! Apply today to work with a well-known company within the aviation industry!

The ideal candidate for this position will perform the following tasks:
* Develops, designs, verifies, and documents Application-Specific Integrated Circuits (ASIC) and Field Programmable Gate Arrays (FPGA) development.
* Determines architecture design, logic design, and system simulation.
* Analysis of all aspects from high-level design to synthesis, place and route, and timing and power utilization.
* Typically uses specialized equipment to establish operation data, conduct experimental tests, and evaluate results.
* Support Circuit card designer with trade studies.
* Develop and release design requirement specifications for product.

Mandatory Qualifications and Experience:
* Bachelor’s degree or higher in Electrical or Computer Engineering with a preferred concentration in FPGA design and Digital Signal Processing through relevant experience.
* Familiarity with video system design, synchronization, image processing operations and display formats.
* Must have a Secret security clearance.

Desired Qualifications and Experience:
* Experience with Verilog, C/C++, MathLab/Simulink, System Verilog languages; Synopsis Synplify, Synopsis VCS, NCSim, ChipScope tool sets desired.
* Experience with Xilinx and Altera Part family internal FPGA fabric and IP and model based compilers desired.
* FPGA design experience with tools noted above.
* Previous experience related to aerospace design techniques is desired

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 127843
Job Location: Orlando, FL
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer Level 4 - C

Description:
MUST HAVE AN ACTIVE SECRET SECURITY OR ABOVE CLEARANCE

Duration
5 Months
Description
Seeking an Electrical Engineer Level 4 for an opening in Owego, NY.

RESPONSIBILITIES:
The successful candidate will possess general knowledge in FPGA firmware design, digital circuit design, and board design. Candidate will possess the ability to implement designs under guidance/direction, using current technology such as FPGAs, Microprocessors, DSPs, and Interface Controllers. Successful candidate may have a general knowledge of standard test equipment such as oscilloscope's and logic analyzers. The candidate should possess good oral, written, and presentation skills, with a familiarity with the Microsoft Office applications. Successful candidate should possess the ability to efficiently perform on multiple tasks simultaneously.

REQUIRED EXPERIENCE:
The successful candidate will possess general knowledge in FPGA firmware design, digital circuit design, and board design Having utilized the Xilinx Vivado, Plan Ahead and/or Altera Questra development platforms to successfully build and test an executable hardware implementation of code which they have written. Experience with MatLab, Labview, C, C++ programming languages. Considered an emerging authority.

EDUCATION:
Bachelors degree from an accredited college in a related discipline, or equivalent experience/combined education, with 9 years of professional experience; or 7 years of professional experience with a related Masters degree.

WORK HOURS:
9/80, 1st Shift
Full-Time

MISCELLANEOUS: Applicants responding to this position will be subject to a government security investigation and must meet eligibility requirements by currently possessing the ability to view classified government information.


Candidates responding to this posting must currently possess the eligibility to work in the United States. No third parties please.

Chipton-Ross provides equal employment opportunities to all employees and applicants for employment without regard to race, color, creed, religion, national origin, sex (including pregnancy), age, disability, sexual orientation, gender identity and/or expression, protected veteran status, genetic information, or any other characteristic protected by Federal, State or local law. This policy governs all areas of employment at Chipton-Ross, including recruiting, hiring, training, assignment, promotions, compensation, benefits, discipline, and terminations.

© 2018 Chipton‑Ross Inc. All rights reserved
 
Job Number: 175354
Job Location: Owego, NY
Rate: $60-$80/HR DOE
Duration: 5 MOS
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Patrick Costello
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineers

Description:
STS Technical Services is hiring Electrical Engineers in Greenville, South Carolina.

Note: Our staffing services come at no cost to you, the candidate. This is job seeking made simple! For more details, please contact our recruiters at 1-800-359-4787.

We are a premiere staffing agency providing high-paying contract and direct-hire employment opportunities. We’d love to partner with you to help you take your career to new heights. Check out the following information about this incredible employment opportunity we have right now with a great client of ours.

What You’ll Be Doing:
The ideal candidate will be responsible for providing electrical engineering support to integration and test of a CNS/ATM upgrade on a C-130H aircraft
This includes defining system requirements to verify hardware requirements, designing the Group A installation, supporting production and development test activities, and troubleshooting production and test failures

Qualifications:
Bachelor’s Degree in Electrical Engineering or equivalent design experience with at least 10 years of electrical design is required
C-130H Electrical Engineering experience is highly preferred
Integration and test experience is required
Must be experienced with CADDAM design software for electrical design is required
Ability to understand schematics, generate interconnect diagrams and troubleshoot hardware is required
Experience with aircraft architectures and electrical integration of avionics subsystems is required

Benefits:
STS Technical Services offers direct deposits / electric payroll, an awesome medical insurance program, cash advances, and the opportunity to refer a friend for compensation.

We know it takes great people to do great work, so if you’re interested in joining the STS Aviation Group family, we encourage you to apply on this page. Have questions? Give us a call at 1-800-359-4787.

Thanks for taking the time, and we look forward to hearing from you soon.
 
Job Number: 43383
Job Location: Greenville, SC
Duration: Contract
Input Date: 11/14/2020
Firm Name: STS AEROSTAFF SERVICES
Address: 2000 NE JENSEN BEACH BLVD
City, State: JENSEN BEACH, FL 34957
800 Phone: 800/800-2400
Website: www.stsaviationgroup.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Power Generation and Distribution Engineer

Description:
• Electrical Power Generation and Distribution Engineer will be responsible for developing the subsystems requirements, architectures, roadmaps, and overall development of the subsystem from concept through certification.
• The candidate must be a subject matter expert in the relevant subsystem and have a thorough technical understanding of development of the subsystem.
• The candidate will be knowledgeable of FAR 25 certification requirements for the subsystem and subsystem components.
• Electrical Power Generation and Distribution Engineer will be responsible for the design of the relevant subsystems of the AS2 supersonic business jet to meet market and certification requirements.
• The successful candidate will have a commanding and fundamental understanding of how to design, analyze, and certify the relevant subsystem and have expert level knowledge of system integration into the aircraft.
• The candidate should be regarded as the technical subject matter expert for the relevant subsystem.

Basic Qualifications:
• BS degree or higher in mechanical/aerospace engineering.
• Minimum of seven years senior level technical experience in Electrical Power Generation and Distribution design and certification required.
• Experience with design and certification of FAR 23 or FAR 25 subsystems required.
• Strong technical, interpersonal, communication, administrative, and presentation skills. Demonstrated leadership in solving technical challenges.
• Significant experience on aircraft design teams, from concept through certification, in a collaborative multi-discipline team environment.
 
Job Number: 42-007
Job Location: Reno, NV
Rate: DOE but very competitve
Per Diem: YES
Overtime: YES
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Subsystems Lead Engineer

Description:
Seeking an Electrical Subsystem Lead Engineer with strong experience enabling him/her to be responsible for all activities related to the design, development, integration, testing and certification of the Electrical Power Generation System (EPGS), Electrical Power Distribution System (EPDS), Electrical Wire Interconnection Systems (EWIS) and the Science of Electrical Engineering (G&B, EMC, EMI, HIRF, LSP, etc.). The successful candidate will manage the various electrical systems design and completion partners, as well as all design and certification activity required. The Electrical Subsystem Lead Engineer will report to the Director of Subsystems IPT.

Duties include, but are not limited to:
• Develop electrical systems design, architecture and product plan, including qualification and certification plans
• Provide subject matter expert level technical leadership, mentoring and guidance on both practical and theoretical aspects of electrical systems
• Define requirements for the electrical systems to ensure compliance with regulations and customer requirements
• Provide validation and verification of electrical systems requirements
• Perform electrical systems tests and certification, and provide system and certification documentation
• Manage the electrical subsystem scheduling, budget, resources and suppliers
• Report subsystem status to ensure milestones are met in support of the overall program schedule
• Will be responsible for hiring, developing and leading talent to augment and seamlessly integrate with our world-class team and liaise effectively with our technical support partners.
• The selected candidate will help to define the product development strategy and lead a team of engineers and analysts in that effort. She/he will prioritize the allocation of the Electrical subsystem resources to meet the milestones of the AS2 program schedule, from concept through certification.

Requirements:
• Must be either a US citizen, a US permanent resident or someone who otherwise meets the requirements of a US Person under EAR part 772 and ITAR 120.12.
• Cannot be party of any restrictive covenant, non-compete, non-solicitation, or non-disclosure / confidentiality agreement with current or former employer that would prevent you from performing the duties of the position.
• Minimum of a Bachelor’s Degree in Aerospace, Electrical Engineering or other relevant Engineering position
• Master’s degree in a technical and/or management specialty preferred
• 10+ years of deep, hands-on experience in Electrical systems development, design and testing in Military, Commercial and/or business jet aircraft
• 3+ years of demonstrated experience leading technical resources and teams, both internally and externally, as well as both on-site and off-site
• In-depth and current knowledge of Part 25 regulatory requirements
• Experience and expertise in the development of commercial and/or high-end business jets strongly desired
• Recent experience in developing electrical systems from concept through certification strongly desired
 
Job Number: 42-004
Job Location: Reno, NV
Rate: DOE, but very competitve
Per Diem: YES
Overtime: YES
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Systems Design & Analy. Engineers - Many Needed

Description:
Must be degreed & ability to obtain security clearance
Leads work with customers to develop and document complex electronic and electrical system requirements. Leads and coordinates work to analyze and translate requirements into system architecture, hardware and software designs and interface specifications. Leads work to test and validate to ensure system designs meet operational and functional requirements. Oversees the team that monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches technology advances for potential application to company business needs. Makes recommendations for technology investments.
Level 4 & 5

Excellent sign on bonus****

*Email resume to:mmccarthy@pdstech.com
 
Job Number: BO-OK - 33001-33002
Job Location: Oklahoma City, OK
Rate: $74.00-82.00/hour
Per Diem: Possible
Overtime: Possible
Duration: 6 Months+
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Mary Ann McCarthy
Address: 1215 FERN RIDGE PKWY STE 231
City, State: ST LOUIS, MO 63141
Phone: 314/628-9143
800 Phone: 800/472-3737
Fax Phone: 314/628-9485
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Systems and Equipment (DER)

Description:
Electrical Systems and Equipment Designated Engineering Representative (DER) or Engineering Authorized Representative (EAR) within an Organization Designation Authorization (ODA Unit-member). This person, if not already an EAR within companies ODA will be expected to be qualified to make application to be added to companies Enterprise ODA as an Engineering AR upon being hired into the company. Should be qualified to review engineering data, review and approve test plans and reports, system test witnessing and review/approval of electrical load analysis. Additionally, Major Repair and Alteration approvals will be required of this position under the ODA. Fault Hazard assessment and System Safety assessments are a plus, but not necessarily required. Candidate should aspire to have these added to their list of authorities if not already present.
Electrical Systems and Equipment (DER) works on engineering projects containing critical problems, the solution of which requires major technological advances and extensive related development Responsible for an entire engineering project of major complexity within established scope 2. Makes technical decisions and recommendations that are recognized as authoritative and have an important impact on extensive engineering activities 3. Applies advanced technical principles, theories and concepts based on broad expertise or unique specialized knowledge 4. Leads the development of innovative principles and ideas 5. Acts independently to determine improved methods and/or procedures to optimize technical product, cost and schedule requirements 6. Works under consultative direction; uses independent judgment to accomplish objectives 7. Develops standards and guides for diverse engineering activities 8. Conceives and plans investigation of broad areas of importance for which engineering precedents are lacking in areas critical to the program Perform other duties as assigned.
Education and Experience Requirements:
Bachelor's Degree in engineering or related curriculum applicable to the respective job position required. 13 yrs. specific technical discipline or 15 yrs. broad end experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs. for Masters and 4 yrs. for PhD in fields applicable to this job.
 
Job Location: Appleton, WI
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Technician

Description:
seeking 63 Electrical Technician located in Mesa, AZ

RESPONSIBILITIES:
Fabricate, assemble, modify, repair, test, and provide shop support to production and prototype wire harnesses and electrical assemblies. Identify nonconforming detail parts, assemblies and processes. Work with engineering to improve manufacturing processes. Constructs wire harnesses and panels by cutting, sorting, color-coding, measuring, stripping, crimping, soldering, and routing various lengths, types, and gauges of wire, heat-shrink, and other related materials. Installs wire harnesses and components in panels and other assemblies. Operates tools and equipment such as wire strippers, crimpers, solder iron, wire identification and cutting machines, heat guns, and associated tools. Locates malfunctions and determines type and amount of rework required to repair each unit. Prepares packages for harness fabrication by cutting, labeling, and kitting. Tests wire harnesses, panels, and aircraft electrical systems for continuity and proper functioning. Follows schematics, drawings, and written or verbal instructions to set up and perform duties. Completes paperwork, hardcopy and electronic version, associated with job assignment. Ensure all assemblies and detail parts are free of Foreign Object Debris (FOD) and nonconformance's issues. Inspect and evaluate materials, components, sub-assemblies, assemblies, tools and product configuration in compliance with requirements, to observe, measure, and record conformity to customer, regulatory and company specifications. Report all non-conformances to manufacturing supervision or corrective action representative. Prepare rejection tags noting deviations from specifications on dimensions, finishes, material, processes, or quality when required. Follow all safety procedures and reports unsafe conditions to safety officials or manufacturing supervision. Reports job status and restraints to coworkers and supervision.
Identifies production inefficiencies and suggests potential improvements to product or process.

Knowledgeable and skilled in electrical (wire harness) materials, fabrication and assembly methods and common shop practices.
Knowledgeable and able to interpret blueprints, sketches and written and verbal instructions.
Knowledgeable and able to apply shop math.
Knowledgeable and able to use computer systems for office and shop applications.
Skilled in listening and interpersonal participation, and able to work with others as part of a team which includes giving and receiving feedback, and assisting others in completion of tasks.
Able to complete and maintain all required training and certifications needed to do your job efficiently.

EDUCATION:
Accredited High School Diploma/GED

WORK HOURS:
Full-Time/First Shift
6 AM - 2:30 PM

MUST BE ABLE TO WORK 1ST OR 2ND SHIFT.

Candidates responding to this posting must currently possess the eligibility to work in the United States. No third parties please.

Chipton-Ross provides equal employment opportunities to all employees and applicants for employment without regard to race, color, creed, religion, national origin, sex (including pregnancy), age, disability, sexual orientation, gender identity and/or expression, protected veteran status, genetic information, or any other characteristic protected by Federal, State or local law. This policy governs all areas of employment at Chipton-Ross, including recruiting, hiring, training, assignment, promotions, compensation, benefits, discipline, and terminations.



© 2018 Chipton‑Ross Inc. All rights reserved
 
Job Number: 174601
Job Location: Mesa, AZ
Rate: DOE
Duration: 12 Months
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Hannah Segura
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electro-Mechanical Engineer

Description:
seeking an Electro-Mechanical Engineer for an opening in Grand Prairie, TX.

RESPONSIBILITIES:
be responsible for missile harness design and 3D routing and general packaging support. Cross functional coordination to complete hardware design effort to support hardware integration for the designed weapon system. Research, development and design of components, equipment and networks for military applications. The engineer will be responsible for interfacing with electrical engineers in interpreting system interconnect diagram(s), harness schematics, and providing guidance for improving the layout based on best physical routing practices. The engineer will also be responsible for harness piece-part/component selection to meet interfacing hardware and environmental requirements.

REQUIRED EXPERIENCE:
Requires 5 or more years of electro-mechanical design experience of airborne avionics, missile and/or ground-based equipment. Design experience should include electrical cable and/or network design and general electro-mechanical design. - Candidate must have experience with cabling / harness design. - The engineer must be proficient with the Pro/Cable module in Creo, have good communication skills, and be able to work with internal and external customers. The ability to work with minimal oversight.

PREFERRED EXPERIENCE:
Knowledge of Client procedures in CAD modeling and working knowledge of military and / or industry hardware specifications

EDUCATION:
Accredited High School Diploma/GED

WORK HOURS:
9/80, 1st Shift
Full-Time

MISCELLANEOUS: Applicants responding to this position will be subject to a government security investigation and must meet eligibility requirements by currently possessing the ability to view classified government information.

Candidates responding to this posting must currently possess the eligibility to work in the United States. No third parties please.

Chipton-Ross provides equal employment opportunities to all employees and applicants for employment without regard to race, color, creed, religion, national origin, sex (including pregnancy), age, disability, sexual orientation, gender identity and/or expression, protected veteran status, genetic information, or any other characteristic protected by Federal, State or local law. This policy governs all areas of employment at Chipton-Ross, including recruiting, hiring, training, assignment, promotions, compensation, benefits, discipline, and terminations.
 
Job Number: 175361
Job Location: Grand Prairie, TX
Rate: Up to $65.00 DOE
Duration: 10 Months
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Rick Barrett
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic Circuit Designer - 4 / OrCAD PSpice PCB LRU CA

Description:
Electronic Circuit Designer - 4 (Job Number: 18018662)

Number of Openings: 1

Location(s): United States-California-San Diego


Shift: 1st Shift

Target Start Date: Oct 8, 2018

Target End Date: Mar 8, 2019

Pay Rate: $62 to $64/hr

Work Schedule: 9/80A

Duration of Assignment: 3-8-19

Job Description

Qualified applicant to support electronics modernization effort. The SOW include performing detail electrical circuit design, circuit level analysis, support qualification testing, preparing ATP and QTP and support electrical circuit and LRU manufacturing.


Basic Qualifications:

9+ years experience with Electrical Engineering Bachelor’s Degree or an equivalency of 17 years plus High School Diploma.

Experience with OrCAD/pspice

•Experience with PCB troubleshooting
•Experience with PCB layout and routing
•Strong verbal and written communications


Preferred Qualifications:


Working knowledge of engineering practices/procedures regarding electronic components

•Experience with Altium Designer
•Experience with NGC circuit design process
•Experience with TeamCenter
 
Job Number: MB-1680
Job Location: San Diego, CA
Rate: 64/hr max
Per Diem: no
Duration: 5 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic Packaging Design Engineer

Description:
Mentor Expedition Printed Circuit Board (PCB) Designer-
The PCB Designer will create printed circuit board layouts from inputs received from engineering (electrical, mechanical, manufacturing, and test).
Job duties will include but are not limited to the following:

• Place and route components ensuring that all electrical and physical attributes meet the specific design requirements for each PCB design.
• Ensure that all requirements for manufacturing and assembly are met.
• Rework PCB designs when engineering design changes occur and assist the design team with ideas to resolve issues.
• Make schematic updates based upon changes from electrical engineers.

Qualifications:
• 5+ years of experience using Mentor Graphics Xpedition, Designer VX2.3 (schematic capture) and Layout VX2.3 (board layout), software to route complex multi-layer printed circuit board designs.
• An Associate’s Degree or certificate in Graphics Technology or Engineering Technology is desired.
Must be proficient with:
• Verifying design using Design Rule Check.
• Using Padstacks, Cell (footprint) and Part (PDB) definitions, and building intelligence into components.
• Utilizing Constraint Manager to define substrate and routing constraints.
• Establishing rule areas that define specific route rules for different portions of the board.
• Routing using both manual/interactive and automatic tools.
• Working with different via structures.
• Generating plane data.
 
Job Number: EPDE2018
Job Location: El Segundo, CA
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Shailendra Mishra
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic/Electrical Design & Analysis Engineer III - (Oklahoma City, OK)

Description:
Several openings in Oklahoma City.

Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronics Technician

Description:
Location: Fort Worth, TX
Rate: $33.91/HR
Duration: 4 month contract
Per Diem Split: Yes (if eligible)
Overtime: Possible

****MUST be a U.S. Citizen****

Job Description:
- Consist of engineering hardware fabrication support, troubleshooting, testing and basic Laboratory operations responsibility.
- Some climbing on ladders may be required as well as lifting up to 25lbs.
- These responsibilities will include fabrication of electronic/electrical chassis' and mechanical rack fabrication using development engineering drawings.
- Candidate must be capable of working independently, discussing technical issues with customers and working with vendors.

Requirements:
- An AA in Electrical or Electronics Engineering is highly preferred.
- High School Diploma or GED is required.
- Extensive Hand Soldering experience is mandatory.
- Cable harness fabrication and bench work experience preferred.
 
Job Number: 9998
Job Location: Fort Worth, TX
Rate: $33.91/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 4 mos
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronics Technician / Must have hand soldering experience. Cable harness fabrication and bench work experience preferred TX

Description:
163437
1219-1 Electronics Technician
Work Location: Fort Worth, TX
Status: Open

Qty: 2
Desired Start Date: 1/29/2019
End Date: 7/29/2019

Job Description:
Consist of engineering hardware fabrication support, troubleshooting, testing and basic Laboratory operations responsibility. Some climbing on ladders may be required as well as lifting up to 25 lbs. These responsibilities will include fabrication of electronic/electrical chassis and mechanical rack fabrication using development engineering drawings. Candidate must be capable of working independently, discussing technical issues with customers and working with vendors. An AA in Electrical or Electronics Engineering is highly preferred. Extensive hand soldering experience mandatory.

**Must have hand soldering experience. Cable harness fabrication and bench work experience preferred.

Region: Fort Worth, TX
Level: Level 2: 3+ YRS
Pay Rate: $33.00 Hourly
 
Job Number: JE-1219-1
Job Location: Fort Worth, TX
Rate: 33/hr max
Per Diem: no
Duration: 6 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronics Technician - 6 openings! TX

Description:
163022

Hiring manager is seeking more submittals.

1173-1 Electronics Technician
Work Location: Fort Worth, TX
Status: Open

Qty: 6

Job Description:
Consist of engineering hardware fabrication support, troubleshooting, testing and basic Laboratory operations responsibility. Some climbing on ladders may be required as well as lifting up to 25lbs. These responsibilities will include fabrication of electronic/electrical chassis' and mechanical rack fabrication using development engineering drawings. Candidate must be capable of working independently, discussing technical issues with customers and working with vendors. An AA in Electrical or Electronics Engineering is highly preferred.

Long-term potential for the right candidate
Will accept experience in lieu of degree
Soldering experience required
Experience with fabrication of electronic/electrical chassis' and mechanical rack fabrication using development engineering drawings required.
Previous military experience highly desired but not required.

Region: Fort Worth, TX
Level: Level 2: 3+ YRS
Pay Rate: $33.00 Hourly
 
Job Number: JE-1173-1
Job Location: Fort Worth, TX
Rate: 33/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrophysics Engineer IV - Electromagnetic Compatibility - (OK)

Description:
Several openings in Oklahoma City, OK.

Active Secret Clearance, NOT required to start.

Develops and validates requirements for various complex communication, sensor, electronic warfare and other electromagnetic systems and components. Develops and validates electromagnetic requirements for electrical\electronic systems, mechanical systems, interconnects and structures. Develops architectures to integrate complex systems and components into higher level systems and platforms. Performs complicated trade studies, modeling, simulation and other forms of analysis to predict component, interconnects and system performance and to optimize design around established requirements. Defines and conducts critical tests of various kinds to validate performance of designs to requirements. Manages appropriate aspects of critical supplier and partner performance to ensure compliance to requirements. Provides support to products throughout their lifecycle from manufacturing to customer use by providing guidance and support to resolve complex issues. Supports project management by providing coordinating development of work statement, budget, schedule and other required inputs and conducting appropriate reviews. Generates major sections of proposals to support development of new business.

Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: ElectroPhysicsOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrophysics Engr/Scien 3

Description:
Duration
6 MONTHS
Description
Chipton-Ross is seeking 5 Electrophysics Engineers/Scien 3 located in Oklahoma City, OK

RESPONSIBILITIES:
Develops and validates requirements for various communication, sensor, electronic warfare and other electromagnetic systems and components. Develops and validates electromagnetic requirements for electrical\electronic systems, mechanical systems, interconnects and structures. Develops architectures to integrate systems and components into higher level systems and platforms. Performs trade studies, modeling, simulation and other forms of analysis to predict component, interconnects and system performance and to optimize design around established requirements. Defines and conducts tests to validate performance of designs to requirements. Manages appropriate aspects of supplier and partner performance to ensure compliance to requirements. Provides support to products throughout their lifecycle by developing root-cause analysis of anomalies and other engineering support as requested. Supports project management by providing work statement, budget, schedule and other required inputs and by reporting project status. Writes appropriate sections of proposals to support development of new business. Works under general direction.

EDUCATION:
Accredited Degree and typical experience in engineering classification: Bachelor's and 5 or more years' experience, Master's degree with 3 or more years' experience or PhD degree with experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.

WORK HOURS:
Full-Time/First Shift
8 AM - 4:30 PM

MISCELLANEOUS:
Applicants responding to this position will be subject to a government security investigation and must meet eligibility requirements by currently possessing the ability to view classified government information.

Candidates responding to this posting must currently possess the eligibility to work in the United States. No third parties please.

Chipton-Ross provides equal employment opportunities to all employees and applicants for employment without regard to race, color, creed, religion, national origin, sex (including pregnancy), age, disability, sexual orientation, gender identity and/or expression, protected veteran status, genetic information, or any other characteristic protected by Federal, State or local law. This policy governs all areas of employment at Chipton-Ross, including recruiting, hiring, training, assignment, promotions, compensation, benefits, discipline, and terminations.



© 2018 Chipton‑Ross Inc. All rights reserved
 
Job Number: 174946
Job Location: Oklahoma City, OK
Rate: DOE
Duration: 6 mos
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Christophor Long
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Embedded Software Engineer (SM-3 2A) - Clearance AZ

Description:
Embedded Software Engineer (SM-3 2A) (8733940)

Applies technical expertise in the development of C++ software for embedded multi-processor systems. Develops complete software solutions, and influences the successful completion of supported programs and projects. Reviews software products for soundness of technical correctness and adequacy. Produces documentation related to the design and release of software artifacts. Understands and utilizes agile software development methods, automation, and continuous integration, particularly Scrum. Displays initiative and can work well alone or as a member of a team. Follows organizational / program/project processes and procedures. Interacts with senior software personnel through both written and verbal communication.


Required Skills/Experience:
• Minimum of 5 years of experience developing embedded software in C++;
• Experience and knowledge of Linux and Windows computing environments; • Experience and knowledge of Matlab;
• Hardware/software integrator for real time embedded software;
• Experience with all phases of software development including requirements analysis, implementation, unit test, integration and maintenance;
• Bachelor’s or Master’s Degree in Computer Science, Computer Engineering, Mathematics, Electrical Engineering, or a related field;

Desired Skill/Experience:
• Experience developing software using an Agile Software methodology with the Scrum Framework; working well in a dynamic team environment;
• Proficiency utilizing software debugging tools to troubleshoot software implementation and ensure integrity of the software products;
• Understanding of computer architecture and computer hardware optimization techniques;
• Optimization / performance of algorithms in embedded code on hardware and simulation;
• Working knowledge in the build automation tools Make and CMake on both Linux and Windows platforms;
• Working knowledge and experience with configuration management tools, in particular Synergy;
• Parallel programming principles and processes for technical computing environments;
• Experience with unit test frameworks (Google Test), computer in the loop, hardware in the loop and closed loop simulation;
• Excellent written and verbal communication skills;
• Ability to work in an integration lab environment working on software/hardware;
• Experience with Windriver Workbench and VxWorks 6.9.X;
• Experience with TI Code Composer Studio v5.X;
• Experience with Eclipse/CDT integrated development environment


Hours: 8:00am to 5:00pm
Location: Tucson AZ United States
Contractor Work Week 9/80
 
Job Number: MB-R8733940S
Job Location: Tucson, AZ
Rate: open
Per Diem: no
Duration: 10 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Employee Development Spec 3

Description:
Employee Development Spec 3
 
Location: Everett, WA
Duration: 6 Months
 
Job Description:        
Conducts learning requirements analysis and establishes learning objectives. Designs and develops course content and instructional materials. Tests delivery environment and establishes system access requirements. Delivers content and assesses effectiveness based on learning objectives. Conducts customer workplace coaching sessions to improve individual or group performance. Deploys development tools and monitors implementation and performance outcomes. New hire core skills assessment and instruction.
 
Education / Experience:         
6 or more years' related work experience in aerospace drilling and fastener installation or electrical.
 
Skill Code: F31-Delivery and Facilitation

Please share updated resume at ravi@iconma.com if interested.
 
Job Number: 18-18642
Job Location: Everett,, WA
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Ravi Kumar
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/234-6123
800 Phone: 866/914-9724
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Equipment & Tool Engineer 3

Description:
Equipment & Tool Engineer 3

Location: Renton, WA
Duration: 6 months

Description:
Required qualifications:
- Electrical Engineering experience with an emphasis in software development
- Experience working with two or more of the following: web application development, mobile application development, object-oriented design and analysis, networking, unit testing, systems administration, and/or developing large software systems
- Experience with hardware and software configuration management
- Familiarity with and the ability to program with Visual Basic and C#

Typical Education & Experience:
Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctor of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.

Preferred qualifications:
- Critical technical skills include proficiency in airplane digital signals and low voltage data acquisition
- Ability to create and update drawings with Auto Cad and/or Autodesk inventor
- Experience with digital data busses such as ARINC 429/717.
- Experience with aviation connector interfaces and packing such as ARINC 600.
- Knowledge of web design and maintenance
- Experience programming PLCs and HMIs
- Experience with National instruments Lab Windows CVI.
- Experience with equipment configuration control through Version Description Documents and/or Equipment Documents
- Experience using aviation interfaces such as synchros, resolvers, Linear Variable Displacement Transducers (LVDTs) and variable reluctance proximity sensors
Bachelor of Science degree in one of the following areas is highly preferred
Aeronautical Engineering
Aeronautics & Astronautics Engineering
Aeronautical Engineering Technology
Chemical Engineering
Civil Engineering
Aerospace Engineering
Electrical Engineering Technology
Manufacturing Engineering
Manufacturing Engineering Technology
Mechanical Engineering
Manufacturing Systems Engineering
Material Sciences and Engineering
Industrial & Systems Engineering
Mechanical Engineering Technology
Electrical Engineering
Metallurgical and Materials Engineering
Plastics Engineering
Plastics Engineering Technology
Structural Engineering

Skill Code:
66U-Designer Engineer.

Please share updated resume at ravi@iconma.com if interested.
 
Job Number: 18-17824
Job Location: Renton,, WA
Per Diem: Available
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Ravi Kumar
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/234-6123
800 Phone: 866/914-9724
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Facilities Engineer

Description:
Location: Vandenberg AFB, CA
Duration: 1 year contract
Overtime: Exempt
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****

Job Description:
- The Facility Maintenance Engineer will support the maintenance and restoration of launch facilities in support of our clients Atlas V Launch Operations at Vandenberg Air Force Base California as part of our clients Engineering and Infrastructure Team.
- The successful candidate will provide management of Delta IV SLC-6 facility maintenance activities, consisting of periodic maintenance and repair of launch facility, HVAC, electrical, civil, structural and mechanical systems.
- Maintenance activities are performed by both contractor and company represented employees.
- Candidate will coordinate the procurement of facility services, equipment, and furniture and perform a variety of engineering tasks in support of mechanical, electrical and structural facility maintenance.
- Candidate will perform investigation of anomalies encountered by maintenance personnel and be responsible for developing a corrective action plans and work documents for both company and contractor support.
- Will work with various government support groups including Air Force and Base Civil Engineering personnel.
- Will specify and order various types of facility equipment.


Requirements:
- Experience with facilities engineering and plant operations.
- Must have excellent communication, writing, and oral skills and have the ability to work with a wide range of team members including company represented personnel, customers, and subcontractors.
- Ability to read and interpret mechanical and electrical drawings, logic diagrams, and technical manuals.
- Basic experience with AutoCAD, create/revise engineering drawings as required.
- Proficient knowledge in Microsoft Office Suite.
- Plans, designs and oversees the reconfiguration, maintenance, and alteration of equipment, machinery, buildings, structures, and other facilities.
- Gathers and reviews data concerning facility or equipment specifications, company or government restrictions, required completion date, and construction feasibility.
- Coordinates with architecture/engineering firms in developing design criteria and preparing layout and detail drawings.
- Prepares bid sheets and contracts for construction and facilities acquisition.
- Reviews and estimates design costs including equipment, installation, labor, materials, preparation, and other related costs. Inspects or directs the inspection of construction and installation progress to ensure conformance to established drawings, specifications, and schedules.


Education:
- Requires a bachelor’s degree and 4 -8 years of experience.
 
Job Number: 9834
Job Location: Lompoc, CA
Per Diem: Split Possible
Overtime: Exempt
Duration: 1 yr
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Flight Control System Engineer

Description:
Role Description:



We are searching for a talented person to fill a Flight Control Engineer position located here in Moses Lake, WA. The strategic purpose of the Flight Sciences Group, and the Engineering Services Unit, is to provide engineering consulting services related to aircraft performance.



Job Duties and Responsibilities:

Review and support Certification activities.
Certification Plans.
Component Qualification Test Plans.
Similarity Analysis Reports.
Safety and Particular Risk Analysis.
Design Reviews.
Support flight testing activities.
Test Specification Definition.
Test planning and preparation activities.
Pre and Post Flight Briefing Support.
Live monitoring of flight data, typically via telemetry, exceptionally on aircraft.
Post flight data processing, analysis, and drawing conclusions.
Troubleshooting of aircraft snags, root cause determination and appropriate engineering disposition for way forward.
Appropriate disposition of non-conformances, quality escapes etc.
Safety of Flight determination.
Documentation of design problems for long term fix.
Release of engineering documentation for component changes and new installations, ensuring appropriate configuration control.
Generation and review of appropriate limitations documentation.
Flight Test Report Writing.




Requirements
Knowledge/Skills/Abilities

Intermediate knowledge of flight test operations and flight certification.
Professional mannerisms, appearance and actions (self-confident and committed to high ethics).
Strong organizational, time management and prioritization skills:
Able to multi-task, maintain focus on several different projects at one time and hit deadlines.
Able to be flexible with attention and priority.
Able to work in a progressive, fast-paced environment (work well under pressure).
Strong analytical and troubleshooting skills as well as decision-making skills.
Strong interpersonal skills, with the ability to build strong relationships at all levels. Ability to influence others as well as relate to individuals at all levels of the organization.
Strong communication skills (oral, written, presentation) with both external and internal customers:
Act as an active listener, seeking to understand and then to be understood, articulating clearly and confidently.
Uses people relationship and business management skills to make decisions on what and when to communicate with employees.
Able to publicly represent the organization to people who inquire about our company, our mission and our products (straight-forward, believable).
Strong project management skills, including the ability to take ownership for accomplishing assigned tasks. Results-oriented planner and delegator who ensures that goals are met. Able to set priorities and keep to projected schedules.
Computer Skills: Comfortable and effective working in Microsoft Office. Proficiency with Microsoft Project and/or other project planning software. Able to quickly learn new software and systems.
Software programming
Education

Four (4) year degree in Engineering (Electrical, Aerospace, Instrumentation, Avionics).
Experience

3-8 years relevant aerospace engineering experience
 
Job Location: Moses Lake, WA
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Claderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
INDUSTRIAL SYSTEMS PROJECT ENGINEERING MANAGER-MACHINE SYSTEMS

Description:
JSG is hiring a Industrial Systems Project Engineering Manager for our client in Los Angeles, CA!

This is not an IT position.
Local candidates only.

Responsibilities

Supervise the project managers, project engineers, and proposal manager in the Industrial Systems organization.
Work with his or her peer, the Industrial Systems Engineering Services Manager, to complete semi-custom and custom automated systems for welding, laser marking, laser processing, and precision laser cutting applications.
The Industrial Systems Project Engineering Department is responsible for successful project completion and will be provided support by the Engineers in the Industrial Systems Engineering Services Department Coordinate department’s activities with the Systems Engineering Services
Manager and Manufacturing Manager as well as coordinate activities with the Company support departments including Purchasing, Product Planning, Customer Service, Finance and Sustaining Engineering
Coach staff toward modern professional performance in the areas of:
Technically robust and advanced equipment
Creative and robust automation solutions
Engineering procedures and disciplines in a commercial environment
Presentation and communication with customers and other departments
Achieve project performance goals on equipment projects, setting and managing customer and Company expectations.
Deliver quality products on time.
Manage development projects that provide new and innovative industrial systems features and capabilities
Oversee and support the quotation activities for systems
Proposal writing and cost estimating
Pre-sale activities include conceptual design, schedule, and cost estimation of semi-automated industrial laser processing workstations.
Conceptual design with assistance from others includes: cycle time estimate, mechanical layout of workstation, tooling concept, block diagram of electronic controls, and flowchart of overall man-machine interaction and machine functions.
Cost estimation includes estimation of NRE, RE, assembly, testing and materials.
Provide administrative management of systems project engineering department, including staffing, performance appraisals, career development,
reporting, and participation in company-wide management and process improvement initiatives
Partner with vendors and other third party concerns to augment systems and execution capacity to match our order demand and to provide added industrial systems features and capabilities

Requirements

Eight years experience in a technical management capacity at an industrial firm.
BS degree in Mechanical or Electrical Engineering
Experience with motion control equipment, industrial machines and automation
Excellent problem solving skills
Good verbal and written communication
Track record of project definition and project completion
Excellent time management skills and follow-though with ability to accomplish day-to-day tasks while continuing to make progress, and bring to completion,longer term projects.
Good verbal and written communication
US Citizen due to customer visit and specification requirements
Ability to occasionally travel domestically and internationally
 
Job Number: 367484
Job Location: LOS ANGELES, CA
Per Diem: No
Overtime: Possible
Duration: DIRECT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: JOHNSON SERVICE GROUP
Attention: Linda J Peck
Address: 950 S BASCOM AVE STE 2122
City, State: SAN JOSE, CA 95128
Phone: 408/728-9510
800 Phone: 866/656-0539
Fax Phone: 408/271-2701
Website: www.jsginc.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
INSTRUMENT AND CONTROLS ENGINEER

Description:
INSTRUMENT AND CONTROLS ENGINEER



Ponca City Refinery:

The Ponca City Refinery in Oklahoma has a crude oil processing capacity of 203 MBPD. Both foreign and domestic crudes are delivered by pipeline from Canada, Oklahoma, Kansas and Texas. The refinery's facilities include fluid catalytic cracking, delayed coking and hydro-desulfurization units that enable it to produce high ratios of gasoline and diesel fuel from crude oil. Finished petroleum products are shipped by truck, rail and Company-owned and common carrier pipelines to markets throughout the mid-continent region. Operations are run 24 hours per day, seven days per week.



Major Products: Gasoline, Diesel, Jet fuel, Kerosene, Heating oils.

Capacities: Crude oil and Other Charge Capacity: 203,000 BPD; Gasoline Production Capacity: 110,000 BPD; Distillate Production Capacity: 85,000 BPD.


 

Description:

The Instrument Engineer is responsible for the design, engineering, and implementation of process instrumentation and control systems from initial conception through commissioning. The Instrument Engineer is responsible for design and engineering that provide the most reliable and cost- effective instrument and control systems. The Instrument Engineer also provides consulting services to process engineers in developing process design specifications, develops operating and training manuals for mechanical and process personnel, and participates in training operating personnel. The Instrument Engineer writes purchase and construction specifications and writes and performs Factory Acceptance Tests procedures. The Instrument Engineer specifies, procures, and configures Distributed Control Systems, and field hardware such as transmitters, control valves, and electronic transmission systems.

 

The Instrument Engineer utilizes American Petroleum Institute (API) Standards, Instrumentation, Systems and Automation Society (ISA) Standards, National Fire Protection Association (NFPA), and ConocoPhillips standards and practices to perform the above functions..

 

Responsibilities may include:



  • Instrumentation and controls capital projects engineering including front-end-loading, cost estimates, specifications and oversight of detailed design.

  • Identifying areas where instrumentation/controls can improve plant operation and profitability

  • Owning and leveraging the application of instrumentation safety standards, mechanical integrity, design, and maintenance.

  • Functioning as Project Engineer on instrumentation and control system capital projects and large instrumentation maintenance projects

  • Using documentation systems and processes which maintain accurate instrumentation equipment and control system information and drawings

  • Supporting criticality assessments of the plant's instrumentation equipment

  • Designing Refinery Safety Instrumented Systems (SIS) design and maintenance

  • Performing Safety Integrity Level (SIL) analysis and engineering upgrades of safety instrumented systems

  • Assisting in developing strategic plans for implementing/upgrading instrumentation and control systems

  • Serving as a technical resource to properly identify new and existing equipment specifications and proper operating parameters/ranges for instrumentation equipment

  • Overseeing application and interpretation of National Electric Code and other applicable city, state, federal codes and standards (e.g. NPRA, NFPA, API, ISA)

  • Performing audits of instrumentation installations and process control loops including failure analysis inspections

  • Interacting with engineering and construction contractors, both on- site and off- site

  • Review instrument changes for Management of Change approval.  Ensure that changes are properly designed and documented.

  • Assist with training and development of operators, technicians, and engineers in order to high-grade skill sets with respect to the instrument asset base.

  • Support DCS operator interfaces with respect to information presentation and abnormal situation management.

  • Facilitate increases in unit availability, increases in product quality, and reductions in refinery maintenance costs by developing and maintaining reliability systems for the plant's instrumentation assets. Provide leadership for implementation of these reliability systems.


QUALIFICATIONS

 

Basic/Required:  



  • Must possess a bachelor's degree in Electrical Engineering, Chemical Engineering, Mechanical Engineering or Engineering Physics or equivalent

  • 3+ years of experience in a refinery and/or chemical industry

  • 3+ years of direct experience in process instrumentation design and/or maintenance.


 

Preferred:  



  • Knowledge of the Ponca City Refinery instrumentation asset base.

  • Proficient in use of SAP - PM & PS Modules

  • Demonstrated knowledge and experience designing and supporting Safety Instrumented Systems (SIS), Safety Instrumented Functions (SIF), Layers of Protection Analysis (LOPA), and High Integrity Pressure Protection Systems (HIPPS).

  • Proficient in computer applications such as Word, Excel, Outlook, and MS Projects

  • Expert knowledge of field instrumentation and controls through design and field installation experience

  • Demonstrated knowledge and experience on Programmable Logic Controllers (PLC) and Distributed Control Systems (DCS), preferably on Client TDC3000 / Experion and FSC Safety Managers.

  • Demonstrated knowledge on Process Analyzers, Chromatographs, and Gas Monitoring Systems.

  • Demonstrated knowledge and use of SPI (InTools) database and specifications

  • Strong analytical skills including statistical analysis

  • Strong interpersonal and written communication skills

  • Ability to lead execution of work and resolve issues in a team environment

  • Accepts ownership, is accountable, and delivers on commitments


Ability to work in cross-functional teams

 


 




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.

 
 
Job Number: 18-50643
Job Location: Ponca City, OK
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Start Date: 2018-12-04 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Kristy Thompson
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Lead Stress Engineer (379)

Description:
*This is a 1 year contract position located in Minneapolis*



We are seeking a contract aircraft or space vehicle stress / structural analyst who will be working on aircraft and or missiles applying knowledge to dynamics and stress upon composite materials, metals, electrical components, as related to vehicle flight.

Candidate will be modelling, testing, analyzing, and modifying aircraft and integrating modified components into airborne vehicles. Work will include geometry data collection, FEA/FEM model development for various loads. May be asked to analyze and write documentation using military specifications, internal structural manuals, developing working models, etc.



Required:
*10 years’ experience in stress/structural analysis of aircraft using FEM (Nastran or Abacus).
*5 years of leadership of a team and responsibility of team results to management
*Ability to develop physical using FEA/FEM and software such as Matlab Ability to analyze thermal- and fluids-related data gathered during field tests using a range of toolsets (Matlab, Python, R, Excel) *Familiar with MS Project
*Decomposing technical requirements into product specifications
*Current Active Secret Clearance preferred; Requires applicants to be US Citizens

*4 year engineering degree in ME, aerospace or equivalent



Pluses:
*Knowledge and analysis of weapons (missile) systems; knowledge of failure modes
*Experience with Abacus Explicit (transient modelling)
*ANSYS skills
*Skilled in importing geometries from CREO CAD models into FEA/FEM software
*Familiar with stresses related to allowables; fluid/structures interactions
*IPT experience

ence
 
Job Number: 379
Job Location: Minneapolis, MN
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Liaison Engineer - Electrical Systems

Description:
• Assesses and resolves product/process issues through the product lifecycle.
• Support daily production, completions and delivery operations
• Assist with production, quality and the customer; provide engineering with dispositions of Material Review Board/concessionary requests & resolution of query’s raised on all assigned projects
• Leads research of technical, operational and quality issues that cannot be resolved by customer and designs interim and final engineering solutions
• Leads others to analyze, conduct root cause analysis and develop dispositions for design non-conformances
• Work in close cooperation with other engineering disciplines to achieve the company’s business objectives.
• Maintain a current and up-to-date knowledge of developments in aircraft structures in order to recommend innovations to improve the quality, economy and effectiveness of company products
• Develops and implements product/process improvements
• Recommend new processes where needed for quality improvement, cost reduction and on-time delivery.
 
Job Number: 18-819
Job Location: Northeast
Duration: 6 months +
Input Date: 11/14/2020
Firm Name: AGENTE TECHNICAL
Attention: Alexa Bui
Address: 714 CENTERPARK DR STE 140
City, State: COLLEYVILLE, TX 76034-2852
Phone: 972/415-4545
800 Phone: 866/758-2494
Fax Phone: 866/717-5927
Website: www.agentetech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer II

Description:
Location: Savannah, GA
Rate: $52.50/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Unique Skills:
SOLUMINA_CATIA_SAP_MANUFACTURING_MECHANICAL_ELECTRICAL ENGINEERING.

Education and Experience Requirements:
Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Eighteen months Manufacturing Engineering, planning, or related technical experience.

Position Purpose:
Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.

Principle Duties and Responsibilities:
Essential Functions: 1. Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed). Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components). 2. Author detailed work instructions that optimize manufacturing process efficiency and opportunity for zero defects with functional Operations Engineer. 14. Author DRDI/DMT rework instructions to support effective corrective actions. 15. Author MBOM in SAP/Solumina/Corridor (as applicable) to ensure all parts defined by Engineering are installed during the assembly/installation process. 16. Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems. 3. Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements. 4. Investigate and assist in preparing justification for equipment and facility requests. 5. Perform productibility studies from engineering drawings to identify opportunities to enhance manufacturing ability to meet requirements. Participate in Design for Manufacturing assessments with Engineering. 6. Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed. 7. Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures. 8. Incorporate Lean Manufacturing Methodology into existing and future processes. 10. With minimal supervision, lead/coordinate the implementation of projects between multiple organizations. 11. Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader. 12. Support all Safety, Sustainability and Ergonomic Initiatives. 13. Aid in development and maintenance of Business Continuity Plan.

Additional Functions: 1. Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality. 2. Initiate and maintain accurate daily/weekly activity status based on work output, as required. Perform other duties as assigned.

Other Requirements:
1. Proven knowledge of Lean and/or Six Sigma principles.
2. Must be knowledgeable about Engineering configuration requirements, tooling, quality control, plant safety, blueprint reading, and shop math.
3. Ability to operate computerized equipment, such as personal computers, CADAM, CATIA, Solumina, SAP, Corridor.
4. Lean Six Sigma Greenbelt Certification or higher preferred.
 
Job Number: 10156
Job Location: Savannah, GA
Rate: $52.50/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer II (2 needed)

Description:
Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.

Unique Skills:
• Solumina, SAP, CATIA V5, SmarTeam, Electrical Engineering, Planning

Principle Duties and Responsibilities:
• Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed)
• Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components).
• Author detailed work instructions that optimize manufacturing process efficiency and opportunity for zero defects with functional Operations Engineer.
• Author DRDI/DMT rework instructions to support effective corrective actions.
• Author MBOM in SAP/Solumina/Corridor (as applicable) to ensure all parts defined by Engineering are installed during the assembly/installation process.
• Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems.
• Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements.
• Investigate and assist in preparing justification for equipment and facility requests.
• Perform productibility studies from engineering drawings to identify opportunities to enhance manufacturing ability to meet requirements.
• Participate in Design for Manufacturing assessments with Engineering.
• Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed.
• Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures.
• Incorporate Lean Manufacturing Methodology into existing and future processes.
• With minimal supervision, lead/coordinate the implementation of projects between multiple organizations.
• Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader.
• Support all Safety, Sustainability and Ergonomic Initiatives.
• Aid in development and maintenance of Business Continuity Plan.

Other Requirements:
• Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality.
• Initiate and maintain accurate daily/weekly activity status based on work output, as required.
• Perform other duties as assigned.

Education and Experience Requirements:
• Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
• Eighteen months Manufacturing Engineering, planning, or related technical experience.
 
Job Number: 24-306
Job Location: Savannah, GA
Rate: $52.50
Per Diem: 1/2 of hourly rate, 1st year
Overtime: Yes, 1.5
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt Gregory
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer II (Electrical Planning)

Description:
• Experience in Electrical Planning, Electrical Floor Support, Mechanical System Floor Support
• Candidates must have experience with Catia V5. Experience with Solumina and SAP is preferred.
• Candidates must possess proven knowledge of aircraft systems and structural assemblies/installations.

Principal Duties And Responsibilities:
• Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed). Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components).
• Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems.
• Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements.
• Investigate and assist in preparing justification for equipment and facility requests.
• Perform producibility studies from engineering drawings.
• Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed.
• Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures.
• Incorporate Lean Manufacturing Methodology into existing and future processes.
• Utilize CADAM/CATIA data and nesting software to generate flat patterns, Master Models, NC data, and ply nests to meet Engineering and Manufacturing requirements.
• With minimal supervision, lead/coordinate the implementation of projects between multiple organizations
• Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader.
• Support all Safety, Sustainability and Ergonomic Initiatives.
• Aid in development and maintenance of Business Continuity Plan.

Experience/Education:
• Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
• Eighteen months Manufacturing Engineering, planning, or related technical experience.
 
Job Number: 24-019
Job Location: Savannah, GA
Rate: $52.50
Per Diem: Yes
Overtime: Yes
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Planner III / Electrical/Wire - (AZ)

Description:
This position will support the Mesa Electrical Center of Excellence and will be responsible for providing electrical (wire harness and electrical panel fabrication) ME planning support for both military and commercial platforms. Previous wire harness and electrical panel fabrication is desired.

Develops, implements and maintains various manufacturing plans, Bill of Materials, work instructions and illustrations to define and document as-built configuration. Develops manufacturing concepts and strategies to support business objectives. Develops and integrates suppliers, processes, materials, data and technology to meet manufacturing and delivery requirements. Reviews and approves supplier data to ensure manufacturing related requirements are met. Develops tooling requirements based on manufacturing and engineering requirements. Manages tools from initial design to end of use disposition. Investigates and resolves manufacturing related issues. Performs producibility assessments for part or product designs. Provides producibility input to design, manufacturing processes and manufacturing technologies to meet cost and schedules. Develops integrated work statements and sequencing of events to support delivery commitments. Drafts, updates and reviews processes and procedures to support business and regulatory agency requirements.

Prefer an AA degree in related discipline and typically 3 or more years of related experience or an equivalent combination of education and experience.
 
Job Number: MPaz
Job Location: Mesa, AZ
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 9+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Planner- Electrical

Description:
Manufacturing Planner 3

Location: Everett, WA
Duration: 6+ Months

Description:
Develops, implements and maintains various manufacturing plans, Bill of Materials, work instructions and illustrations to define and document as-built configuration. Develops manufacturing concepts and strategies to support business objectives. Develops and integrates suppliers, processes, materials, data and technology to meet manufacturing and delivery requirements. Reviews and approves supplier data to ensure manufacturing related requirements are met. Develops tooling requirements based on manufacturing and engineering requirements. Manages tools from initial design to end of use disposition. Investigates and resolves manufacturing related issues. Performs producibility assessments for part or product designs. Provides producibility input to design, manufacturing processes and manufacturing technologies to meet cost and schedules. Develops integrated work statements and sequencing of events to support delivery commitments. Drafts, updates and reviews processes and procedures to support business and regulatory agency requirements. Works under general direction.

Education / Experience:
AA degree in related discipline and typically 3 or more years of related experience or an equivalent combination of education and experience.

Position Comments:
This position will support the Mesa Electrical Center of Excellence and will be responsible for providing electrical (wire harness and electrical panel fabrication) ME planning support for both military and commercial platforms. Previous wire harness and electrical panel fabrication is desired.

Skill Code: 67B-Electrical/Electronics
 
Job Location: Everett, WA
Rate: $50
Per Diem: Split
Overtime: Possible
Duration: 6 months
Start Date: Asap
Input Date: 05/01/2023
Last Updated: 08/10/2023
Firm Name: ICONMA LLC
Attention: Mohamed Zoheb
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/602-3856
Website: iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Drafter

Description:
seeking a Mechanical Drafter for an opening in Simi Valley, CA.

RESPONSIBILITIES:
Mechanical Drafter will create details of fabrication and assembly drawings for manufacturing and assembly of the finished products. The primary area of expertise shall be the detailing of fabricated, welded, and machined parts for rotating mechanisms and packaging of electro-mechanical, electrical, and microwave components. This involves creating CAD generated detail drawings of various machine type parts, brackets and assemblies.
• This position reports to the Director Antenna Pedestal Design.
• Responsible for generating part lists and creating SolidWorks and/or AutoCAD drawings.
• Generates mechanical schematics diagrams from original sketches using AutoCAD
• Creates parts lists from in-house database and vendor datasheets. Selects parts based on general criteria.

REQUIRED EXPERIENCE:
Must be fluent in the use of AutoCAD and SolidWorks with a minimum of 3 years of experience. Knowledge of commercial as well military drawing practices and standards, such as ANSI Y14.5, DOD-STD-100, and Mil-D-1000, is preferred. Must have a working knowledge of Microsoft Word and Excel, and possess experience in electronic chassis design, aluminum /steel component fabrication, rotating mechanisms design.

EDUCATION:
Accredited Associates Degree or 5 years equivalent experience and HS Diploma/GED required.

WORK HOURS:
9/80, 1st Shift
Full-Time

Candidates responding to this posting must currently possess the eligibility to work in the United States. No third parties please.

Chipton-Ross provides equal employment opportunities to all employees and applicants for employment without regard to race, color, creed, religion, national origin, sex (including pregnancy), age, disability, sexual orientation, gender identity and/or expression, protected veteran status, genetic information, or any other characteristic protected by Federal, State or local law. This policy governs all areas of employment at Chipton-Ross, including recruiting, hiring, training, assignment, promotions, compensation, benefits, discipline, and terminations.



© 2018 Chipton‑Ross Inc. All rights reserved
 
Job Number: 175502
Job Location: Simi Valley, CA
Rate: $30.00-33.00/hr
Duration: 3 Months
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Rick Barrett
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Engineer - Clearance / stress analysis NASTRAN finite element modeling NX PA

Description:
Mechanical Engineer - Clearance
Contractor's Work Location King of Prussia, PA

Number of Positions 3

Assignment Type Temp to Hire

Target Start Date 12/03/2018
Target End Date 03/02/2020
Work Schedule 9/80
Estimated Regular Hours/Week 44.00
Shift 1st
OT Expected No
Straight Time (Exempt)

Drug Screen Yes
Background Checks Yes

Position Description
Perform structural and dynamic design & analysis tasks under supervision of senior engineers within The Hypersonics HCSW Program. The candidate will conduct structural analysis of strategic missile systems, hypersonic vehicles, and related components. Specific duties may include performing structural analysis of composite, metallic and electrical components related to missile systems and their related ground equipment.

Skills Required
Bachelor's or Master's degree in a Civil/Mechanical/Aerospace Engineering/Structural Mechanics program or equivalent from an accredited college/university. Advanced stress analysis capability using NASTRAN and finite element modeling using NX or equivalent is required. Microsoft Office (Excel, WORD, PowerPoint).Knowledge of and applicable experience with spacecraft or aircraft structural analysis methods, including hand calculation experience for mechanical joints. Excellent verbal and written communication skills are essential.

Skills Preferred
MATLAB, Fortran, Microsoft Office, ABAQUS, Nastran, Missile/aerospace related education/background. Ability to work in a collaborative team-based environment.

Experience Required
Advanced stress analysis capability using NASTRAN and finite element modeling using NX or equivalent is required. Microsoft Office (Excel, WORD, PowerPoint).Knowledge of and applicable experience with spacecraft or aircraft structural analysis methods, including hand calculation experience for mechanical joints. Excellent verbal and written communication skills are essential.

Education Required
Bachelor's or Master's degree in a Civil/Mechanical/Aerospace Engineering/Structural Mechanics program or equivalent from an accredited college/university.
 
Job Number: JE-104S
Job Location: King of Prussia, PA
Rate: 70/hr max
Per Diem: no
Duration: 15 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Technician CA

Description:
163665 Job ID# 21501BR
Title Mechanical Technician
Job Summary General Atomics (GA), and its affiliated companies, is one of the world’s leading resources for high-technology systems development ranging from the nuclear fuel cycle to remotely piloted aircraft, airborne sensors, and advanced electric, electronic, wireless and laser technologies.

We recognize and appreciate the value and contributions of individuals with diverse backgrounds and experiences and welcome all qualified individuals to apply.

The Computer and Diagnostic Systems DIII-D/ITER team within the Energy affiliate company of General Atomics is seeking a talented Sr. Technician to work out of the Torrey Pines, CA office.

DUTIES AND RESPONSIBILITIES:

Under limited supervision, the Sr. Technician will be responsible for:•Responsible for fabrication, modification, installation, and documentation of complex laboratory, experimental or commercial equipment, including diagnostics (instruments)
•Interprets general instructions or detailed mechanical drawings, plans sequence of assembly, maintains quality assurance documentation and prepares sketches and schematics, with a working knowledge of RF and High voltage engineering principles
• Assist in the design and maintenance of basic lab, experimental, and commercial equipment.
•Maintains a clean and organized work space.
•Operates specialized equipment and/or performs tests requiring knowledge of processes pertinent to the organization, program or project.
•Responsible for execution of a set of laboratory experiments or for a complex laboratory function.
•Diagnoses and corrects operational problems or trouble sources in equipment or tests. Interprets basic information displayed to recognize when various operating measurements are drifting out of established parameters. Adjusts operating parameters to bring systems back to satisfactory operating conditions. Develops solutions to problems that occur including assisting in the design of specialized components.
•May provide direction to other technicians or craft personnel in construction and maintenance of equipment, operation of tests, or laboratory.
•Responsible for observing all laws, regulations and other applicable obligations wherever and whenever business is conducted on behalf of the Company. Expected to work in a safe manner in accordance with established operating procedures and practices.
•Perform other duties as assigned.


Qualifications Essential Qualifications Typically requires associate's degree or equivalent with seven or more years of experience. May substitute equivalent experience in lieu of education. Ability and willingness to work on systems which have unusual conditions such as high pressure, high voltage, high energy, cryogens, or radioactive materials. Knowledge of computer operations and applications pertinent to the field required. Must have analytical ability to read and understand detailed instructions, drawings and specifications. Communication skills necessary to write and record precise information. Special skills and expertise to use complex equipment or experimental techniques.
Additional Qualifications - Requires Associate’s degree or equivalent, with seven or more years of experience. May substitute equivalent experience in lieu of education.
- Ability and willingness to work on systems under unusual conditions involving high pressure gasses, high voltage, cryogens, high energy, radioactivity, etc.
- Proficiency in 3D CAD software such as Solidworks.
- Experience with various machining techniques (lathe, mill, CNC, etc.) and vacuum systems.
- Skill with soldering high precision assemblies.
- Must have analytical ability to read and understand detailed instructions, drawings and specifications.
- Must be able to lift up to 25 lbs.
- Communication skills necessary to write and record precise information.
- Experience with lasers, high voltage, RF electronics and basic knowledge of physics and electrical engineering is desired.
- Pay rate: $30-$35/hour
City San Diego
State California
Travel Percentage Required 0% - 25%
Clearance Required? No
Work Schedule Rule 5/40

Temp Assignment Duration (# of Days) 180
 
Job Number: JE-21501
Job Location: San Diego, CA
Rate: 30-35/hr
Per Diem: no
Duration: 6 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
NX Electrical Designer / Engineer - Mechatronics

Description:
Job Overview:
This position will be responsible for the execution and documentation of electrical designs of body interfaces/features for vocational applications and custom vehicle system designs for the clients medium duty truck platform

Responsibilities:
Execute new wiring designs, feature specs and support other feature owners for body interface features such as body lighting, customer defined wiring, configurable body interface systems for the clients medium duty truck platform
Work closely with other Mechatronics feature owners during the implementation of new or revised body interface designs to ensure adequate coordination during the design development and documentation stages.
Monitor, analyze and address production & field issues with swift and thorough corrective actions including both long term and short-term initiatives

Core Functions
Execution of the packaging, wiring harness designs, feature specs and documentation for all Mechatronics owned electrical/electronic modules and their mounting systems throughout all areas of the vehicle.
Address ongoing production issues with swift and thorough corrective actions including both long term and short term initiatives.

Education
Bachelor’s degree on Electrical (Preferred) or Mechanical Engineering with 2 years of related experience.

Required SKills
Working knowledge of NX Cad System
Demonstrated teamwork skills, including cross-functional collaboration.
Excellent verbal and written communication skills.
Experience with identifying and solving the root cause of complex problems.
Understanding of engineering processes & downstream impacts.

Preferred Skills
Working knowledge of Capital Harness (CHS) – Preferred
Working knowledge of cLients Documentation systems – Preferred
Understanding of commercial vehicle market and product knowledge – Preferred
 
Job Number: 5428
Job Location: Portland, ORE
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: Long term
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: OLSA RESOURCES INC
Attention: Dina
Address: 3485 NE JOHN OLSEN AVE
City, State: HILLSBORO, OR 97124
Phone: 503/608-7895
Fax Phone: 503/608-7896
Website: www.olsaresources.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Electrical Engineer - Clearance CA

Description:
163582 Principal Electrical Engineer

Work Site Address : El Segundo CA
Job Number: 8786543

Start Date: ASAP
End Date: 12 months +
Position Type: Contract


Roles and Responsibilities
Responsibilities:
• Perform design concept definition, requirements analysis, resource/tool/equipment identification and schedule development
• Responsible for architecture design and design specification document
• Perform design entry in RTL in VHDL or Verilog and functional verification in Modelsim or Questa simulation tool
• Conduct hardware design demo and participate or lead design reviews
• Perform logic synthesis, static timing analysis and place & route in Xilinx or Altera design flow
• Responsible for documentation of design and test bench codes and verification procedures
• Maintain a record of the projects and control over the various configurations of the projects
• Maintain an effective means of communication among the intra-department and inter-department personnel
• Provide adequate design debug assistance to software and Integration and Test department during firmware, software and system integration and test
• Preparation of requirement specification, design description, simulation plan, test plan, test report and design descriptions related documents
• Knowledge of EVMS. Provide cost schedule and cost performance on firmware tasks applicable to the assigned program. Required qualifications:

Qualifications and Education Requirements
• Minimum of 8 years of ASIC or FPGA design experience
• Working knowledge with Modelsim / Questa simulation tools for code entry, simulation and code coverages
• Highly experiences with Xilinx or Altera FPGA design flow, synthesis, place and route, timing analysis, test and hardware design debug skills
• Strong organization, coordination, planning and teaming skills
• Ability to effectively multi-task and work efficiently in a high-paced environment

Experienced at leading hardware to software integration and system verification efforts necessary for the efficient integration and test
• Knowledge of government and/or commercial encryption products
• Knowledge of high grade, high assurance type 1 fail-safe design experiences Required Education:
• Bachelor of Science in Electrical Engineering, Computer Science, other related discipline or equivalent experience with minimum of 8 years of ASIC or FPGA design experience Desired Education:
• Master of Science in Electrical Engineering, Computer Science, other related discipline or equivalent experience with minimum of 8 years of ASIC or FPGA design experience
 
Job Number: MB-R8786543S
Job Location: El Segundo, CA
Rate: 70-90/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Software Engineer - Clearance / Embedded Software, C Software, C++ Software, Green Hills Integrity, Waterfall and/or Agile Scrum methodology, EVMS, IMS (Integrated Master Schedule), DOORS, Software or Systems Engineering Integration CA

Description:
Principal Software Engineer

Work Site Address : El Segundo CA
Job Number: 8652393
Max Pay Rate: 80-84HR
Start Date: ASAP
End Date: CTH (6 Months)
Position Type: Contract


Senior Software Engineer with C/C++ development skills to support multiple programs in a fast-paced environment for programmable cryptographic systems. This position will work closely with the Customer, Program Managers, technical and support staff to successfully meet customer requirements and expectations. The successful candidate will also need to be an effective communicator, leader and decision maker.


Role and Responsibilities include the following areas:
• Execute to program requirements. Ensure that cost and schedule commitments are being met to ensure on time delivery of the end product deliverables
• Debug, troubleshoot, issue investigation, find root cause and provide corrective action determination to address integration issues
• Interface directly with management team and customers in meetings and reviews
• Expand and influence program developments by working closely with the program managers and other engineers to process direction for the program
• Provide weekly reporting of key programmatic milestones in accordance with the program plan, while insuring risk mitigation throughout the program


Required Experience, Knowledge and/or Skills:
• 8-10 years software development experience
• Proven experience performing as a Senior SW Engineer for software development teams
• Proven experience in using Green Hills Integrity
• Proven experience spanning software architecture, design and system integration with experience developing embedded software utilizing C and C++
• Proven experience in leading teams using Waterfall and/or Agile Scrum methodology
• Proven experience in writing software test plan and test procedures
• Ability to support engineering team members on various programs
• Ability to multi-task, to support multiple customers, and to work within specific schedule and cost constraints
• Strong organizational skills and ability to motivate and lead a team
• Possess and demonstrate excellent interpersonal, presentation and verbal/written communication skills
• Excellent time management skills

Required Education Requirement: B.S. Computer Science, Computer Engineering, Software Engineering, Electrical Engineering or other Engineering discipline

Desired Education Requirement: M.S. in Computer Science, Computer Engineering, or Electrical Engineering

Preferred Skills
Desired Experience, Knowledge, and/or Skills:
• Certified EVMS
• Experience working with IMS (Integrated Master Schedule)
• Experience with Green Hills Integrity
• Experience with KIV-7M product
• Experience in Waterfall and Agile/Scrum, Continuous Integration Environments
• Experience with requirements management using DOORS
• Experience with configuration management using MKS and Git
• Software or Systems Engineering Integration expertise

Keywords: Embedded Software, C Software, C++ Software, Green Hills Integrity, Waterfall and/or Agile Scrum methodology, EVMS, IMS (Integrated Master Schedule), DOORS, Software or Systems Engineering Integration
 
Job Number: MB-R8652393S
Job Location: El Segundo, CA
Rate: 80-84/HR max
Per Diem: no
Duration: CTH (6 Months)
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Project Engineer / IPD Team Lead

Description:
Project Engineer / IPD Team Lead

Location: Windsor Locks, CT
Pay Rate: $48.25/hr
Duration: 1 year contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**
**NO RELOCATION COMPENSATION**

Description:
Project Engineering Integrated Product Development (IPD) Team Lead will provide continuous support of environmental controls for the US Navy. The environmental controls include oxygen generation and CO2 removal for VIRGINIA, OHIO and COLUMBIA classes of submarines. The successful candidate will be working on the support of the qualification and production builds of our many different products. Additionally, the candidate will be responsible for leading the IPD teams consisting of Systems Engineering, Test Engineering, Mechanical/Electrical Design, Supplier Quality, Materials Engineering, Manufacturing Engineering, Material Planners, and Purchasing to drive delivery of these products within the approved budget and schedule. Familiarity with SAP, and UTAS Engineering Change System are a plus. The IPD team lead will report directly to the Program Engineering Manager and will play a critical role in driving project execution while meeting both internal and external customer expectations. This position requires creation and delivery of technical presentations to management and customers.

Additional:
• BA/BS degree in Science, Technology, or Engineering w/ 5+ years of experience is required - Graduate degree preferred.
• 5+ years leading teams and managing projects Prior experience working with or managing multi-disciplinary teams in a fast paced environment
• Excellent verbal, written and interpersonal communication skills
• Experience and familiarity with product qualification, and production build and test (specifically with respect to low volume Navy hardware)
• Natural presence/comfortable presenting to internal and external customers
• Demonstrated command of RRCA methodology and tools
• Experience troubleshooting (specifically with respect to MRB disposition) in troubleshooting problems on the production floor and coming up with creative solutions that maintain product quality while minimizing cost/schedule impact
• Ability to bring team members together and work problems to resolution
• Ability to work independently and as part of a team
• Proven planning, adaptability, organizational and negotiation skills for effective team management
• Ability to anticipate project risks and build contingency plans
• Manage hardware contracts from stages of proposal through delivery, including tracking procurement status, establishing engineering and operations efforts, and oversight of assembly and test progress.
• Interface with both internal operations and engineering functions to facilitate assembly, test, and delivery of hardware.
• Participate in regular reviews with the customer to communicate status of contracts and schedule.
• Provide direction to IPT (Integrated Product Team) for actions on in-process hardware.
• Develop and manage program schedules to drive on-time contract deliveries.
• Participate in contract proposals, including BOE and BOM estimates.
• Utilize Earned Value methodology to forecast program demands and track project completion.
• Process engineering changes to ensure high quality, conforming hardware is being received, built, and delivered to our customers
• Project Management Professional (PMP) certification is a plus.
• US Citizen
 
Job Number: 9992
Job Location: Windsor Locks, CT
Rate: 48.25
Per Diem: No
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Project Engineering Integrated Product Development (IPD) Team Lead (Naval Submarines)

Description:
PDS Tech is seeking a Project Engineering Integrated Product Development (IPD) Team Lead in Windsor Locks, CT.

The Project Engineering IPD Team Lead will provide continuous support of environmental controls for the US Navy. The environmental controls include oxygen generation and CO2 removal for VIRGINIA, OHIO and COLUMBIA classes of submarines. The successful candidate will be working on the support of the qualification and production builds of many different products.

Additionally, the candidate will be responsible for leading the IPD teams consisting of Systems Engineering, Test Engineering, Mechanical/Electrical Design, Supplier Quality, Materials Engineering, Manufacturing Engineering, Material Planners, and Purchasing to drive delivery of these products within the approved budget and schedule. Familiarity with SAP is a plus. The IPD team lead will report directly to the Program Engineering Manager and will play a critical role in driving project execution while meeting both internal and external customer expectations. This position requires creation and delivery of technical presentations to management and customers.

Requirements
• BA/BS degree in Science, Technology, or Engineering w/ 5+ years of experience is required - Graduate degree preferred.
• 5+ years leading teams and managing projects
• Prior experience working with or managing multi-disciplinary teams in a fast-paced environment
• Excellent verbal, written and interpersonal communication skills
• Experience and familiarity with product qualification, and production build and test (specifically with respect to low volume Navy hardware)
• Natural presence/comfortable presenting to internal and external customers
• Demonstrated command of RRCA methodology and tools
• Experience troubleshooting (specifically with respect to MRB disposition) in troubleshooting problems on the production floor and coming up with creative solutions that maintain product quality while minimizing cost/schedule impact
• Ability to bring team members together and work problems to resolution
• Ability to work independently and as part of a team
• Proven planning, adaptability, organizational and negotiation skills for effective team management
• Ability to anticipate project risks and build contingency plans
• Manage hardware contracts from stages of proposal through delivery, including tracking procurement status, establishing engineering and operations efforts, and oversight of assembly and test progress.
• Interface with both internal operations and engineering functions to facilitate assembly, test, and delivery of hardware.
• Participate in regular reviews with the customer to communicate status of contracts and schedule.
• Provide direction to IPT (Integrated Product Team) for actions on in-process hardware.
• Develop and manage program schedules to drive on-time contract deliveries.
• Participate in contract proposals, including BOE and BOM estimates.
• Utilize Earned Value methodology to forecast program demands and track project completion.
• Process engineering changes to ensure high quality, conforming hardware is being received, built, and delivered to our customers
• Project Management Professional (PMP) certification is a plus.
• US Citizen
 
Job Number: 1810094034
Job Location: Windsor Locks, CT
Overtime: yes
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Stephanie Spolzino
Address: 50 FOUNDERS PLAZA STE 304
City, State: EAST HARTFORD, CT 06108
Phone: 860/289-2643
800 Phone: 866/636-4723
Fax Phone: 800/556-5284
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
S&C Engineer

Description:
We are searching for a passionate and dedicated S&C Engineer for a position located here in Moses Lake, WA to

review and support Certification Activities, including but not limited to:
Create and review Certification Plans.
Create and review Component Qualification Test Plans, Qualification Test Procedures and Qualification Test Reports.
Review qualification by similarity and/or analysis reports.
Review system safety documents (PSSA, SFHA, AFHA, SSA and Particular Risk Analysis).
Create, review and approve ground test procedures, flight test procedures and their corresponding test reports.
Perform Component Drawing Reviews.
Complete aircraft assembly and installation drawing reviews.
Participate in Systems Design Reviews.
Coordinate with suppliers to review technical data, certification requirements and troubleshoot any issues with supplies.
Coordinate with certification authorities to seek clarification on requirements.
Review certification guidance material (advisory circulars, etc.) and incorporate the necessary guidance into the certification plans.
Support Flight Testing Activities, including but not limited to:

Help determine test specification definition.
Discuss, write and review test plans and preparation activities with flight test team.
Help prepare and review pre and post flight briefings.
Monitor live flight data, typically via telemetry, during flight test on aircraft.
Process, analyze, and draw conclusions from post flight data.
Identify non-conformances, quality escapes, etc. to create rejection tags.
Make assessments to ensure safety of flight determination.
Document flight test design problems for long term fix.
Generate and review appropriate limitations documentation.
Write flight test results reports.
Flight Sciences:

Supply expertise in area of aircraft performance, stability & control and aircraft handling characteristics to support the development and certification flight testing for both new and supplemental type certificates.
Plot time history data to investigate and resolve flight snags; analyze flight test data to develop/validate performance, stability and control law functionality and status test points based on test objective and certification pass/fail criteria.


Requirements
Knowledge/Skills/Abilities:



Knowledge of flight test operations and flight certification, including aerodynamic testing and/or design; flight testing of aircraft performance and handling characteristics, including experience with take-off; landing; climb and en-route performance; static-source error corrections and stall speed testing; longitudinal & lateral/directional stability and control; minimum control speeds; stall characteristics; high speed characteristics, crosswind takeoff/landing and flight into known icing.



Education:

Four (4) year degree in Engineering (Aerospace, Avionics, Electrical, or Instrumentation).
Other Engineering fields may be considered.
Computing skills (e.g. Excel VBA, Fortran, C, Visual Basic, Matlab, Simulink, CFD, Python)


Experience:

Aerospace engineering experience in Flight Sciences, including experience in aerodynamic testing and/or design; flight testing of aircraft performance and handling characteristics, including experience with take-off; landing; climb and en-route performance; static-source error corrections and stall speed testing; longitudinal & lateral/directional stability and control; minimum control speeds; stall characteristics; high speed characteristics, crosswind takeoff/landing and flight into known icing.
Must have a proficient working knowledge of 14 CFR Part 25 certification regulations pertaining to aircraft performance and stability & control, together with the associated means of compliance presented in AC 25-7C.
Operational experience should also include knowledge of test maneuver techniques and build-up methodologies specific to Flight Sciences testing.
 
Job Location: Moses Lake, WA
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Calderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Senior Embedded Software Engineer (PEER) - Clearance / development of C/C++ software for embedded systems AZ

Description:
163123
Job Title: Senior Embedded Software Engineer (PEER)


Work Site Address: Tucson AZ
Job Number: 8586857

End Date: 7-9 Months
Position Type: Contract



Applies technical expertise in the development of C/C++ software for embedded systems. Develops complete software solutions, and influences the successful completion of supported programs and projects. Reviews software products for soundness of technical correctness and adequacy. Produces documentation related to the design and release of software artifacts. Displays initiative and can work well alone or as a member of a team. Follows organizational/program/project processes and procedures. Interacts with senior software personnel through both written and verbal communication.

Qualifications and Education Requirements
Required Skills/Experience:
• Minimum of 8-10 years of experience developing embedded software in C and C++;
• Experience developing embedded software in C/C++, preferably for the ARM processor family;
• Experience and knowledge of VxWorks and Linux computing environments;
• Hardware/software integrator for real time embedded software, especially of Ethernet, DDR4, and QSPI, as well as proprietary hardware;
• Experience with all phases of software development including requirements analysis, implementation, unit test, integration and maintenance;
• Bachelor’s or Master’s Degree in Computer Science, Computer Engineering, Mathematics, Electrical Engineering, or a related field

Preferred Skills
Experience and comfort working with hardware, including troubleshooting with Oscilloscopes, logic analyzers, volt meters, etc., as part of system development team;
• Working knowledge and experience with configuration management tools;
• Excellent written and verbal communication skills;
• Ability to work in an integration lab environment working on software / hardware / firmware development;
• Experience with Eclipse/CDT / VxWorks integrated development environment.
 
Job Number: MB-R8586857S
Job Location: Tuscon, AZ
Rate: open
Per Diem: no
Duration: 9 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Position Overview:

Designs, develops operates and maintains software components and computing systems software to be applied to and integrated with engineering, scientific and manufacturing requirements.



Applies the appropriate standards, processes, procedures and tools throughout the system development life cycle to support the generation of engineering applications and products.



Developments real time embedded systems involving SW for digital signal processing, electronic warfare, and control and display components. Interfaces with customers, suppliers, application users and other technical and support personnel.



A Bachelor’s degree in Computer Science, Electrical Engineering, or related engineering field.   (MUST BE ABLE TO OBTAIN A SECURITY CLEARANCE)


  • 9+ Years of Embedded Software Engineering experience.

  • Real Time Operating Systems Experience.

  • Must have experience with C++ programming languages:(Either applicable. No preference) :

  • C++

  • EW Background • Capable of working on both high and low level software • VxWorks or similar embedded OS experience • UML design experience




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.
 
Job Number: 18-49460
Job Location: Clifton, NJ
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2019-06-21 00:00:00.0
Start Date: 2018-12-17 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Brian Carrillo
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr Technical Illustrator

Description:
Sr. Technical Illustrator prepare, construct, and revise of Orthographic illustrations, Axonometric projections, Wiring and Schematic diagrams for technical aircraft manuals and other related graphical publications utilizing electronic methodology. Must create and manipulate graphics and using MicroStation and CADAM technical illustrating system. Must be functionally literate with 3-dimensional graphics, surfaces, wiring frames, and solids. Experience with EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired


Sr. Technical Illustrator prepares, construct and revise MicroStation data (3-D, and 2-D data). 2. Prepare, construct, and revise orthographic illustrations, axonometric projections, and Wiring/Schematic diagrams on MicroStation. 3. Determine optimum graphic approach; independently prepare master artwork, charts, visual, booklets, and signs, working with all aspects of graphic arts production by utilizing electronic illustrating equipment. 4. Work with engineers, technical writers, customers, vendors, and suppliers to effectively visualize and conceptualize graphic design problems to include technical as well as non-technical graphics, color separations, overlays, and comprehensive type requirements. 5. Ensure illustrations conform to corporate, ATA 100, FAA, and commercial aircraft technical manual specifications and company style requirements. 6. Maintain up-to-date knowledge of artwork techniques, materials, and equipment. 7. Experience working with equipment related to and associated with electronic illustrating tools, including: High-resolution scanners, PCs and related illustration software, printers, general knowledge of electronic publishing systems, related printers and data requirements. Perform other duties as assigned.

 Sr. Technical Illustrator has the ability to read and interpret wiring schematics, blueprints and engineering orders. 2. Background familiarity with CADAM, Catia, and CCD. Mainframe user level operations, networks, and scanners. SmarTeam experience a plus. 3. Knowledge of import/export of data files such as: (.dxf, tiff, .cgm, and iges) and electronic publishing are desirable qualities. 4. Knowledge of 3-D modeling and animation. 5. Working knowledge of Right Hemisphere software. 6. Video, motion or animation graphics experience a plus.

Bachelor's Degree required or equivalent combination of education and experience. 4 years exp in electronic technical illustrating. Ability to operate Micro-Station, Adobe Illustrator and other Adobe illustration software.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr Technical Writer

Description:
Sr Technical Writer is responsible (either individually or with other team members) for the writing of major portions of technical publications. Supports the complete workflow process to ensure successful completion of each revision cycle and distribution of technical publications. Complies with established quality processes to ensure accuracy, ease of use and timely delivery of each revision cycle content.


Sr. Technical Writer writes technical publications content as directed. 2. Conduct reviews and analyze technical documents to gain a thorough understanding of how complex systems operate and how they are to be integrated into technical manuals to provide the end user with the level of text and graphics to support the efficient return to service of the aircraft system. 3. Consult with internal, external customers and Engineering to create/revise technical manuals to support the department/corporate operating priorities. 4. Provide input to management on new processes or technology for integration into the technical publications business model. 5. Assist in developing solutions for overcoming the technology/technical issues introduced by outside suppliers for incorporation into existing manuals. 6. Work with management, other departments, and team members in the development of specific project data. 7. Provide liaison with Completion Center, Technical Operations, Production and/or Completion Engineering, Service Center to obtain the necessary approval for technical information prior to its release to customers. 8. Participate with publications team to ensure all internal department workflows and business processes are followed for issues relating to producing and delivering technical publications and associated Computerized Maintenance Program (CMP) data. 9. Work with internal/external customers in the development of specific project requirements of source data to ensure a seamless incorporation of new data in the publications environment. Perform other duties as assigned.

Sr Technical Writer has at least three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications. Strong technical writing and communication skills are required. Ability to read and interpret blueprint and Engineering Orders. 4. Must have a thorough knowledge of aircraft tools, test equipment, vendor coding, parts nomenclature, maintenance procedures, and of aircraft equipment and maintenance. EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired

Bachelor's Degree or equivalent experience in the authoring of technical publications required. Four (4) years Technical Publications writing experience. Three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications experience required.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Electrical Engineer (779)

Description:
CSS Staffing is seeking an Electrical Engineer with experience in designing and integrating various electrical/electronic components and vehicle architectures related to power generation, power distribution, cabling, and communication equipment. Candidate should have experience using lab test equipment, such as oscilloscopes, power analyzers, CAN bus diagnostics tools, data acquisition and LabVIEW for test and verification, as well, as electrical schematic captures and simulation tools like SPICE.

Required Skills
*5 years of experience designing and integrating electrical/electronic components in vehicles.
*Experience with electrical cabling, power generation, distribution, energy and fire control to the sub-system level.
*Working knowledge of CAN bus and Ethernet.
*Experience with SPICE simulation tools.
*BS/MS in Electrical Engineering or Computer Engineering
 
Job Number: 779
Job Location: San Jose, CA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Shay Rosen
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Staff Hardware Engineer

Description:
seeking a Staff Hardware Engineer (2) for an opening in Anaheim, CA.

RESPONSIBILITIES:
Under limited supervision with moderate latitude perform engineering work such as interpreting system requirements, deriving circuit receiver architecture from the requirements, simulating and validating the architecture, translating the architecture into schematic and overseeing board layout, analyze the board layout, directing the debug & test of the design, analyze the test results and develop hardware documentation. Job requires the understanding of the design from requirements identification through to integration and test.

REQUIRED EXPERIENCE:
* schematic capture (Altium) and layout (Altium) and documentation tools (Microsoft Excel, Microsoft Project, Microsoft Power Point and Microsoft Word).
* Experience with integration, design verification testing, hardware design process (PDR, CDR, TRR, PRR), is required.
* Experience with power supply design, digital circuit design, board layout, component selection is required.
* Good written and verbal communication skills are a must.
* Candidate must have ability to successfully interact with other Engineering disciplines including FPGA/ASIC, RF, systems, and software engineering functions.
* Capable of working in a team environment with the ability to work independently with minimal supervision and provide direction to associate engineers and technicians.
* Some weekends and evenings will be required.
* Eight to ten years of experience with emphasis on circuit design and checkout for embedded systems such as GPS receivers.

PREFERRED EXPERIENCE:
* Experience with simulation tools (SPICE) and signal integrity tools (Altium, Hyperlynx).
* Direct experience with GPS receiver design is a plus.

EDUCATION:
Accredited Masters of Science degree in Electrical Engineering or Bachelors

WORK HOURS:
9/80, 1st Shift
Full-Time

Candidates responding to this posting must currently possess the eligibility to work in the United States. No third parties please.

Chipton-Ross provides equal employment opportunities to all employees and applicants for employment without regard to race, color, creed, religion, national origin, sex (including pregnancy), age, disability, sexual orientation, gender identity and/or expression, protected veteran status, genetic information, or any other characteristic protected by Federal, State or local law. This policy governs all areas of employment at Chipton-Ross, including recruiting, hiring, training, assignment, promotions, compensation, benefits, discipline, and terminations.



© 2018 Chipton‑Ross Inc. All rights reserved
 
Job Number: 174710
Job Location: Anaheim, CA
Rate: $60.00-70.00 DOE
Duration: 12 Months
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Melody Mullins
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer

Description:
We are looking for an enthusiastic and highly motivated individual to join us as a Systems Engineer to do support the verification & validation of Orion EM1 ECLSS and ATCS hardware.

Responsibilities include:
• Understanding product requirements at the system/component level for mechanical/electrical hardware, supporting acceptance/qualification testing, creating verification reports.
• Being able to work well both independently and within an integrated product development team (IPDT) environment.
• Excellent attention to detail
• Excellent writing & math skills
• Experience on hardware development program: proposal/development/manufacture/verification/operational support
• Experience performing thermal/fluid/chemical analyses ·
• Relevant component hardware experience: heat exchangers, pumps/fans/separators/motors/motor controllers, chemical beds, sensors, valves, accumulators
• Relevant system experience: thermal control systems, air revitalization systems (CO2/H2O/trace contaminant removal), pressure control systems, oxygen generation systems, potable water systems, fuel cell systems, human waste management systems or other equivalent systems
 
Job Number: 1810092551
Job Location: Windsor Locks, CT
Per Diem: No
Overtime: Yes
Duration: 6 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Stephanie Spolzino
Address: 50 FOUNDERS PLAZA STE 304
City, State: EAST HARTFORD, CT 06108
Phone: 860/289-2643
800 Phone: 866/636-4723
Fax Phone: 800/556-5284
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer

Description:
Systems Engineer

Location: Windsor Locks, CT
Pay Rate: $62/hr
Duration: 6 month contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**
**NO RELOCATION COMPENSATION**

Description:
We are looking for an enthusiastic and highly motivated individual to join us as a Systems Engineer to do support the verification & validation of Orion EM1 ECLSS and ATCS hardware.
Responsibilities include:
-understanding product requirements at the system/component level for mechanical/electrical hardware, supporting acceptance/qualification testing, creating verification reports.
-Associates must be able to work well both independently and within an integrated product development team (IPDT) environment.
-Bachelors required
- Excellent attention to detail
- Excellent writing & math skills
- Must be US Person

Additional Job Details:
Experience on hardware development program: -proposal/development/manufacture/verification/operational support
• Experience performing thermal/fluid/chemical analyses
• Relevant component hardware experience: heat exchangers, pumps/fans/separators/motors/motor controllers, chemical beds, sensors, valves, accumulators
• Relevant system experience: thermal control systems, air revitalization systems (CO2/H2O/trace contaminant removal), pressure control systems, oxygen generation systems, potable water systems, fuel cell systems, human waste management systems or other equivalent systems
 
Job Number: 9893
Job Location: Windsor Locks, CT
Rate: 62
Per Diem: No
Overtime: Possible
Duration: 6 mos
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer IV

Description:
Location: Jupiter, FL
Rate: $60.00 - $68.00/HR
Duration: 6 month contract
Shift: Regular 40 HR work week. OT possible.
Per Diem Split: Yes (if eligible)

** Candidates must be U.S. Citizens **
** Permanent residents are NOT eligible for this role **
** Dual citizens are NOT eligible for this role. Also, candidates with a current non-U.S. passport in addition to a U.S. passport are NOT acceptable **

Duties:
** This is a Hardware Systems role, NOT Software or Electrical systems **

- Without appreciable direction and exercising considerable latitude in determining technical objectives and approaches to assignments, performs in a largely independent role for the Systems Engineering organization.
-Typical responsibilities include: May plan, conduct, and provide technical Systems Engineering direction on projects or major phases of significant project assignment, coordinating the efforts of technical support staff in the performance of assigned projects.
- Requires the use of advanced Systems Engineering techniques in management of requirements and risk for developing rocket propulsion systems.
- Assesses the feasibility and soundness of proposed engineering evaluation tests, products or equipment when necessary data are insufficient or confirmation by testing is advisable.
- Represents the organization in conferences to resolve important questions and to plan and coordinate work.
- May direct, coordinate and review the work of a small staff of engineers and/or technicians; estimates personnel needs and schedules and assigns work to meet completion date. Or, as individual researcher or staff specialist may be assisted on projects by other engineers or technicians.
- Develops solutions to complex problems which require the use of ingenuity and creativity.
- Work is reviewed at extended intervals for desired results and achievement.
- Contacts include interacting with customer personnel on technical matters often requiring coordinated activity across organizational lines.

-60% - Develops hardware and software requirements for rocket propulsion systems product development. Responsible for derivation, allocation, and documentation of requirements in a requirements database. Responsible for the development and maintenance of system, subsystem, and component specifications. Coordinates requirements and related specification change management with IPT members. Defines and coordinates requirements verification plans with integrated product team members, plans and manages compliance assessment and certification.
-15% - Performs functional analysis in support of requirements development effort. Supports and/or coordinates system trade studies. Coordinates selection of optimized concept baselines through benefit/cost trades in support of definition of system architecture and objectives.
-15% - Coordinates project risk assessment and management and prepares and reports results on mitigation plans.
-10% - Communicates results in oral presentations and written communication.

Skills:
Required:
-DOORS
-DOORS NG
-DOORS requirements management
-Jazz Team Server tool knowledge
-Systems requirements management and flowdown/decomposition for subsystems and components
-Rocket hardware experience

Desired:
-Hardware requirements development for complex systems including propellant valves/electro-mechanical actuators

Keywords:
-DOORS
-Requirements Management
-Requirements Development

Education:
- BS Engineering
 
Job Number: 10035
Job Location: Jupiter, FL
Rate: $60.00 - $68.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 6 mos
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Technical Designer

Description:
The Technical Designer does structural design engineering work for the interiors of business aircraft. Examples include custom cabinetry such as galleys, lavatories, closets and other storage compartments, interior peripheries, water/waste systems, entertainment equipment installations, avionics system support including retrofit and new installations, and seating (floorplan) reconfigurations. • Assist Lead and Primary engineers in accomplishing project tasks. • Research data, and assist in defining scope by proposal review, team meetings, coordination with Operations, data research and airplane survey. • Provide liaison to Operations personnel. • Determine to use existing data and/or new data needed to be created. • Coordinate with Product Support Electrical and Certification engineering. • Coordinate data delivery priorities and dates with Operations to meet needs. • Provide input to Work scope Change Requests. • Monitor and respond to Engineering Service Requests. Preferred candidates will possess the following characteristics: • Customer-service oriented to our internal customers - primarily Operations personnel. • Flexibility, adaptability and quick learning skills to meet our customers' needs. • Ability to perform and prioritize multiple assigned projects at the same time. • Knowledge and experience with AutoCAD, Catia v5 and SmarTeam.

Education and Experience Requirements:
Associates degree in drafting, design, engineering, manufacturing technology, or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Four (4) years related experience. Working knowledge of computer-aided design systems and personal computing systems. Working knowledge of engineering, engineering procedures and design drawing standards.
 
Job Location: Appleton, WI
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Technical Writer Sr. (EWIS, STE, & PLM)

Description:
Responsible (either individually or with other team members) for the writing of major portions of technical publications. Supports the complete workflow process to ensure successful completion of each revision cycle and distribution of technical publications. Complies with established quality processes to ensure accuracy, ease of use and timely delivery of each revision cycle content.

Education and Experience Requirements:
• Four (4) years Technical Publications writing experience.
• Three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications experience required.

Unique Skills:
• EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired.

Principal Duties and Responsibilities:
• Write technical publications content as directed.
• Conduct reviews and analyze technical documents to gain a thorough understanding of how complex systems operate and how they are to be integrated into technical manuals to provide the end user with the level of text and graphics to support the efficient return to service of the aircraft system.
• Consult with internal, external customers and Engineering to create/revise technical manuals to support the department/corporate operating priorities.
• Provide input to management on new processes or technology for integration into the technical publications business model.
• Assist in developing solutions for overcoming the technology/technical issues introduced by outside suppliers for incorporation into existing manuals.
• Work with management, other departments, and team members in the development of specific project data.
• Provide liaison with Completion Center, Technical Operations, Production and/or Completion Engineering, Service Center to obtain the necessary approval for technical information prior to its release to customers.
• Participate with publications team to ensure all internal department workflows and business processes are followed for issues relating to producing and delivering technical publications and associated Computerized Maintenance Program (CMP) data.
• Work with internal/external customers in the development of specific project requirements of source data to ensure a seamless incorporation of new data in the publications environment. Perform other duties as assigned.

Other Requirements:
• At least three (3) years of Standard Graphic Markup Language (SGML) or SGML-related software applications.
Strong technical writing and communication skills are required.
• Ability to read and interpret blueprint and Engineering Orders.
• Must have a thorough knowledge of aircraft tools, test equipment, vendor coding, parts nomenclature, maintenance procedures, and of aircraft equipment and maintenance.
 
Job Number: 24-285
Job Location: Savannah, GA
Rate: $37
Per Diem: Yes
Overtime: Yes
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Jeff York
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Technician Level 2

Description:
Seeking a Technician Level 2 for an opening in Orlando, FL.

ACTIVE SECRET SECURITY CLEARANCE OR ABOVE REQUIRED

RESPONSIBILITIES:
The position will support multiple programs and R & D efforts for evaluating engineering prototypes and developmental hardware for DoD applications. The technician will need to possess the ability to calibrate and install instrumentation, setup data acquisition systems for instrumentation data capture, and provide documented test results and reduce/format data per our internal customer requirements.

REQUIRED EXPERIENCE:
o Candidate shall possess general electrical skills and be proficient in the use of computers for basic data transfer, communication, and project documentation. o Gathers, maintains, formats, compiles and manipulates technical data, such as laboratory or material test results, and data log entry. o Assemble, calibrate and operate laboratory and/or experimental prototype electronic test and measurement equipment to support aerospace static, dynamic, and climatic environmental testing. o Requires strong math, writing and communication skills; the ability to interpret electrical schematics and comprehend Ohm's Law for resistive, current and voltage calculations. o Electrical skills in the assembly of engineering prototype hardware and operation of digital recorders and electronic test equipment.
o Three years of work experience in related industry.

PREFERRED EXPERIENCE:
o Ability to fabricate electronic cable, connector assemblies and electrical circuit boards.
o Calibrate, install and properly connect instrumentation such as accelerometers, pressure and displacement transducers.
o Ability to calibrate and operate digital and magnetic tape data recording systems and verify their correct and properly functioning.
o Conduct routine and specialized laboratory tests in accordance with test requirements and established procedures and practices.
o Records the data as the test progresses, observes equipment for indications of failure or malfunction, and adjusts, repairs or replaces components as directed to properly complete the test.
o Working knowledge of signal processing.
o Possesses a vocational degree in instrumentation.

EDUCATION:
Accredited High School Diploma/GED

WORK HOURS:
9/80, 1st Shift
Full-Time

MISCELLANEOUS: Applicants responding to this position will be subject to a government security investigation and must meet eligibility requirements by currently possessing the ability to view classified government information.


Candidates responding to this posting must currently possess the eligibility to work in the United States. No third parties please.

Chipton-Ross provides equal employment opportunities to all employees and applicants for employment without regard to race, color, creed, religion, national origin, sex (including pregnancy), age, disability, sexual orientation, gender identity and/or expression, protected veteran status, genetic information, or any other characteristic protected by Federal, State or local law. This policy governs all areas of employment at Chipton-Ross, including recruiting, hiring, training, assignment, promotions, compensation, benefits, discipline, and terminations.



© 2018 Chipton‑Ross Inc. All rights reserved
 
Job Number: 173211
Job Location: Orlando, FL
Rate: Up to $30/HR DOE
Duration: 9 Mos
Input Date: 11/14/2020
Firm Name: CHIPTON ROSS
Attention: Patrick Costello
Address: 420 CULVER BLVD
City, State: PLAYA DEL REY, CA 90293
Phone: 310/414-7800 X286
800 Phone: 800/927-9318
Fax Phone: 310/414-7808
Website: www.chiptonross.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test & Evaluation Lab Tech 2

Description:
Test & Evaluation Lab Tech 2

Location: Berkeley, MO
Duration: 6 Months

Job Description:
Apply knowledge of measurement science, mathematics, physics, and electronics to calibrate inspection, measurement, and test equipment (M&TE) in the electrical RF/Microwave or DC/Low Frequency disciplines to ensure measurement accuracy. Identify and utilize appropriate measurement procedures. Perform corrective actions to address identified measurement problems. Adapt equipment, standards, and procedures to accomplish unique measurements. Maintain calibration standards. Perform laboratory and/or departmental housekeeping.

Education / Experience:
AA degree in related discipline and typically 1 or more years of related experience or an equivalent combination of education and experience. PMEL training preferred.

Skill Code:
61X-Metrology & Calibration

Please share updated resume at ravi@iconma.com if interested.
 
Job Number: 18-18521
Job Location: Berkeley,, MO
Per Diem: Available
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Ravi Kumar
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/234-6123
800 Phone: 866/914-9724
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Verification Design Engineer / FPGA VHDL NY

Description:
Request number: 5658

Job title: Verification Design Engineer
Number of openings: 1

Position location: East Aurora - NY
Employment type: Contract
OT exempt: No

Shift: 1st
Education Requirement: BS/BA

Driving on company time?: No
Maximum Hourly Pay Rate: 70
Start Date: 10/22/2018
Tentative End Date: 10/21/2019


Function:
As a Senior Design Engineer you’ll need extensive experience in creating successful designs of electro-hydraulic, electro-mechanical, electro-hydrostatic and/or electro-pneumatic servo control systems or similar systems to be successful. Your broad background in digital and analog electronic design, including circuit design and analysis, FPGA and ASIC design and verification, and circuit integration, debug, and control theory is essential. You’ll plan and conduct efforts requiring judgment in the independent evaluation, selection, and substantial adaptation and modification of standard engineering techniques, procedures, and criteria.

In this position you’ll be expected to:
Develop new product requirements through consulting with customers and sales
Validate conceptual problem solutions by performing trade studies and analyses
Be a key contributor to a design proposal team in preparing a design, estimating costs, and assisting in writing the technical volume

Operate effectively as a key element in a team of creative, independent individuals, soliciting and being sensitive to design feedback

Create reliable designs through a comprehensive experimental development test program
Document designs, analyses, and test results with accurate and concise reports
Consult on new product and market development efforts

Maintain currency with electronic technology, industry design requirements (Military, FAA, NASA, etc.), and modern design implementation and analysis tools
Represent in a manner consistent with its technical reputation

Required skills:
At least a BS degree in engineering (preferably MS degree).

At least 10 years of relevant electrical engineer and FPGA and/or ASIC design experience.
Experience with FPGA/ASIC VHDL design.
Experience with other design or verification languages is a plus.
Experience with VHDL based test benches, code coverage metrics, FPGA constraint generation, and timing closure.
Experience with FPGA/ASIC designs for control loops and fix point integer math.
Experience designing with and interfacing FPGAs/ASICs to ADCs, DACs, LVDTs, temperature sensors, and common standard communication busses.
Experience with configuration control and change management tools and processes.
Experience generating formal design documentation and generating and delivering formal presentations to a customer.
Experience with requirements management and deriving lower level reqts from system specifications. Experience with the DOORs a plus.
Experience performing formal verification of the design to customer requirements.
Experience designing for motion control and motor control applications is a plus.
Experience with FPGA/ASIC design lifecycle processes and coding standards
 
Job Number: MB-5658
Job Location: East Aurora, NY
Rate: 70/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Wiring Design Engineer

Description:
Electrical wiring and Harness Design
Wiring standards and best practice design
Aircraft zonal requirements and coordination
Power, ground, signals, and databuses
Wire gauge and type consideration
Connector and backshell qualification and selection (EMI, high temperature, high vibration, environmentally sealed/hermetic, connector compatibility, pin selection, ect.)
Misc. electrical harness hardware selection (splices, solder sleeves, grounding blocks, tie wraps, terminal lugs, ect.)
Development of configuration managed, electrical harness design packages
Parts list, bill of materials, parts and standards callout
Fabrication techniques for integrated wiring assemblies
Cost, weight, and schedule trade-offs
Wire Diagram and Harness Drawing Generation
Interpretation of system interface control data to conceptual schematics for point-to-point wiring connections between units
Evolution of conceptual schematics into aircraft wiring information
Coordination of 3D data for implementation into harness drawing packages
Creation and knowledge of drawing part number changes vs. drawing revisions
Use of wiring design tool to generate wire diagrams and harness drawings
System integration as it applies to complex wiring assemblies
Good overall working knowledge of aircraft electrical/electronic system
Implementation of wiring and harness level system separation and segregation to avoid common cause failure modes, including electrical signal and power separation
Databus and digital equipment interface considerations


Qualifications

BSEE or equivalent technical training, and a minimum of 5 years applicable industry related work experience in wire design
Experience with IGE-XAO SEE Electrical Expert is preferred; Mentor Graphics, Autocad, Zuken, or similar smart wiring design tool is required
Good working knowledge with Microsoft Office suite (Word, Excel, and PowerPoint) is required
Good working knowledge with Teamcenter for releasing wire diagrams and harness drawings, or familiarity with other PLM systems (such as SmartTeam, etc.) is desired
Good working knowledge with Electrical Wiring Interconnect System (EWIS) is desired



The Structures Company is an AA/EOE.
 
Job Number: 229
Job Location: NC
Rate: $55-$60/Hr.
Per Diem: Yes
Overtime: Yes
Duration: 9+ Month
Start Date: November
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

Back to Advanced Job Search