Job Search Results

399 listings were found that matched your search words: Keywords: Electronic ( Please note: We assume that all U.S. jobs are "EOE/M/F/D/V" unless otherwise noted. ) ( Listing generated 2024/04/25 Thursday 06:51AM Pacific Time by ContractJobHunter. )

Listings 1 - 399

Go To My Job Tracker

Expand all descriptions

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
ATE Test Engineer (291)

Description:
This is a full time, 6 month contract, located in Grand Prairie, TX*



We are hiring for an Automated Test Equipment Engineer who will Design/develop/deploy test equipment hardware and software using automated tools such as LabView, LabWindows/CVI and Teststand. Candidate will work with high performance data acquisition and control, in the presence of multi-threaded, multi-protocol military radio and instrumentation communications. Responsibilities will include working on failure mode analysis, timing synchronization, and closed loop feedback as well as validation and calibration sequences.
For this position, typical candidates will have a background using varied BUS and protocols including some combination of: IEEE-488, I2C, SPI, USB, 1553, NTDS, RS-485/422/232, Serial, SNMP, TCP/IP, HTML, and Ethernet.

Daily duties may include working with Spectrum Analyzers, Network/Signal Analyzers, Signal Generators, RF Power Meters and Attenuators/Switches/Couplers, Function/Waveform Generators, Data Acquisition and Control Systems, DMMs, High Voltage P/S, Analog I/O, Digital I/O, RF I/O, National Instruments PXI/VXI, Test Method Development, Test Plan/Procedure Development, Data/File Transfer, Distributed I/O, TE Design for Manufacturability, Schematic Interpretation, RF Equipment Specification Review and Selection, RF Communications, Hardware Driver Development, Software Driver Development
Required:

*4+ years' experience designing test stations using test console software with one or more of the following: Labview, TestStand, or Lab Windows CVI.
*Experience in C software development.
*Requires an Active Secret Clearance, which requires applicants to be US Citizens.
*BSEE or equivalent degree



Pluses:
*Developing ATEs for military electronics
*Familiar with test stations such as an ITA (interface test adapter), test console ( drivers, interaction of test equipment software with UUT (unit under test)
 
Job Number: 291
Job Location: Grand Prairie, TX
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Automated Test Engineer (ATE)/ TestStand (370)

Description:
*This is a full time, 9 month contract, located on site only in Orlando*



We are searching for an electronics Automated Test Engineer (ATE) who will be using C programming, TestStand and LabWindows/CVI, to develop, design, test, and especially troubleshoot, debug and integrate DoD/military/defense systems hardware.



Candidate will be responsible for developing all verification and validation documentation necessary to certify the equipment for production use.



Required:

*5 years' experience in RF Engineering, Automated Test Engineering (ATE), using automated & manual tools, including TestStand, LabWindows/CVI, or Labview

*In lieu of above software requirement, C+ development from test requirements [may be substituted] for electro-optical and electro-mechanical systems for acceptance testing by test operators.

*BSEE or equivalent degree

*Active Secret [or Interim] Clearance

*Per diem split is available for out of town contractors

Pluses:

*C programming experience

*Familiar with JTAG using Acculogic

*Labview experience
*Experience with network and spectrum analyzers
 
Job Number: 370
Job Location: Orlando, FL
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Engineer

Description:
Location: Fort Worth, TX
Duration: 6 month contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)


****MUST be a U.S. Citizen****
****MUST have a Bachelor's Degree****
****MUST have an Active Secret Clearance or to have had one within the last two (2) years****


Job Description:
- Supports development, design, integration and test function of Avionics/flight control systems, including electronics, SW, hydraulics, etc.
- Analyzes product/system designs, applying knowledge of technology and materials to ensure a design that considers functionality, appearance, FAA compliance, availability, safety and cost.
- This position is for an engineer working in the Fort Worth IFG Aircraft Computers Team.
- Job responsibilities will include supporting development of Avionics Mission Computers on aircraft.
- Must be able to work in a fast paced environment and be able to work independently with limited oversight from team lead and manager.
- Must able to travel both domestic and internationally.


Activities include:
- Electronic hardware testing and troubleshooting
- Support Software Development efforts for target hardware
- Review and improve Hardware Specifications
- Work with Suppliers & Engineering during product development lifecycle
- Support of system integration activities
- Development/review of detailed engineering documents for software and hardware release
- Financial analysis of planned team activities
- Development of estimates to complete, development of customer estimates
- Generation of slide presentations in support of customer briefings
- Support development of Supplier Statement of Work & Proposals
- Work closely with Supply Chain Management


Education:
- Level 3: BS+9-15 YRS or MS+7-13 YRS
 
Job Number: 10333
Job Location: Fort Worth, TX
Per Diem: Split Possible
Overtime: Possible
Duration: 6 mos
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Engineer - Clearance TX

Description:
163995
1334-1 Avionics Engineer
Work Location: Fort Worth, TX
Status: Open

Qty: 1
Desired Start Date: 2/4/2019
End Date: 9/13/2019

Job Description:
Supports development, design, integration and test function of Avionics/flight control systems, including electronics, SW, hydraulics, etc. Analyzes product/system designs, applying knowledge of technology and materials to ensure a design that considers functionality, appearance, FAA compliance, availability, safety and cost.

This position is for an engineer working in the Fort Worth IFG Aircraft Computers Team. Job responsibilities will include supporting development of Avionics Mission Computers on aircraft.
Activities include:
• Electronic hardware testing and troubleshooting
• Support Software Development efforts for target hardware
• Review and improve Hardware Specifications
• Work with Suppliers & Engineering during product development lifecycle
• Support of system integration activities
• Development/review of detailed engineering documents for software and hardware release
• Financial analysis of planned team activities
• Development of estimates to complete, development of customer estimates
• Generation of a slide presentations in support of customer briefings
• Support development of Supplier Statement of Work & Proposals
• Work closely with Supply Chain Management
Must be able to work in a fast paced environment and be able to work independently with limited oversight from team lead and manager. Must able to travel both domestic and internationally.

Work Location: Fort Worth, TX
Level: Level 3: BS+9-15 YRS or MS+7-13 YRS
Pay Rate: $62.00 Hourly
 
Job Number: JE-1334-1S
Job Location: Fort Worth, TX
Rate: 62/hr max
Per Diem: no
Duration: 7 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Systems Integration Engineer

Description:
Avionics Systems Integration Engineer to join our Avionics team. The scope of the avionics engineering team includes: flight avionics hardware; signal conditioning; data acquisition; actuator control; RF communication; wire harnesses; vehicle guidance, navigation, control; fault tolerance; ground-support electronics hardware and software; and integrated vehicle health monitoring. The ideal candidate will have at least 2 years of experience working with avionics and electrical systems in the aerospace or related fields. A college graduate with related project experience and a passion for aerospace will be considered. We are looking for a generalist who will contribute to several roles in a fast paced environment.

Your Mission
• Design, Integration, and testing of avionics and aircraft electrical systems
• Develop vehicle, system, and component requirements for design, procurement, and test
• Evaluate and select COTS avionics subsystems.
• Work with hardware engineers and subcontractors to define requirements and interfaces for custom avionics hardware
• Create vehicle level schematics
• Plan, execute, and report on vehicle ground and flight testing
• Troubleshoot vehicle systems using schematics, multi-meters, oscilloscopes, bus analyzers, etc.

What you bring
• Experience with digital communication protocols such as RS232, RS422, RS485, Ethernet, MIL-STD-1553, CAN
• Hands-on electrical and/or avionics experience with aerospace or related systems
• Strong understanding of electrical engineering fundamentals
• Printed circuit board design experience is a plus
• Experience with analog and digital circuit design is a plus
• Experience with PCB design, FPGA, microcontrollers, and real-time systems is a plus
• 2 or more years of relevant integration and testing experience in aerospace or similar field
• New college graduates with relevant course and project work will be considered
• Experience with embedded system hardware and software
• Strong troubleshooting, organizational, and written communication skills

The Structures Company is an AA/EOE.
 
Job Number: 277
Job Location: CA
Rate: OPEN - **DOE**
Per Diem: Yes
Duration: 9+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
CNC Maintenance Technician

Description:
CNC Maintenance Electronics Technician

JOB FUNCTION
CNC Maintenance Electronics Technician works with all elements involved in maintaining CNC machines to OEM standards. This involves overseeing the health of all CNC machines and participating in TPM events. Provide detailed reports on all work orders.

JOB RESPONSIBILITIES

•Perform PM’s and Ball Bar tests per schedule.
•Responsible to maintain all systems on CNC machines
•Troubleshoot and maintain Chiller systems, A/C Systems and Hydraulic systems
•Knowledge of Renishaw Laser Compensation System
•Use machine tool manuals, technical documents, along with internal and vendor resources to research machine issues.
•Square up and realign 5 axis CNC Machines
•Provide Reports and documentation of repairs
•Research problems with machine manufacturer as needed
•Work based on a sense of urgency with machine down conditions.
•Write or modify existing PLC software code as needed



REQUIRED SKILLS

•Ability to read parts manuals, electrical and machine schematics, assembly instructions and troubleshooting guides
•Familiarity with Fanuc 30i, 15MB, Heidenhain iTNC 530, and Siemens 840D Controls
•Knowledge of and experience with electrical test equipment, electrical, hydraulic and mechanical systems.

EXPERIENCE
•10+ years’ experience in a manufacturing/maintenance environment

EDUCATION
Any combination of education and experience providing the required skill and knowledge for successful job performance will be considered.

• Associate degree in Electronics, Technical School or Certification or Military training in Electronics; Education may be considered in lieu of experience for this role.
•Must have excellent verbal and written skills
 
Job Location: Irvine, CA
Rate: $35-$38
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: MORSON INTERNATIONAL
Attention: Stephanie Vu
Address: 10800 GOSLING RD BOX 131565
City, State: SPRING, TX 77393
Phone: 713/636-2532
Fax Phone: 713/636-2523
Website: www.morsonusa.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Design & Analysis Engineer

Description:
Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: 35657
Job Location: Oklahoma City, OK
Per Diem: Yes
Overtime: Possible
Duration: 6 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Angie Leahey
Address: 1215 FERN RIDGE PKWY STE 231
City, State: ST LOUIS, MO 63141
Phone: 314/628-9143
800 Phone: 800/472-3737
Fax Phone: 314/628-9485
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Digital Design Engineer

Description:
Job Description:
Opportunity for contract-to-hire based on worker performance, worker attendance and dependability and client business needs

Must be US citizen and eligible for security clearance. The National Agency Check with Inquiries (NACI) is a background investigation primarily for federal employees who will not have access to classified information. DoD clearance is not required.

Client is seeking an individual with specialized skills in digital design tools with a firm background in computer operating systems and processes necessary to develop Client and unique digital circuit blocks and apply advanced algorithms in next generation silicon processes. Primary job functions will include system administration, installation, and maintenance of an advanced circuit design environment consisting of commercial- and government- developed electronic design software. Other duties may include supporting analog and RF design, developing standard cell libraries, and testing fabricated chipsets. The selected candidate will represent client and DoD customers in outside discussions and technical forums. This position will require some travel and require a security clearance.

Required Skills & Experience
A BS Degree in Electrical/Electronic Engineering or Computer Science with five (5) years of experience is required. The applicant must be familiar with the Red Hat enterprise operating system or comparable Linux distributions, installation, maintenance, port security, backup, and shell scripting.
Familiarity with installation and maintenance of the advanced Cadence Design Systems IC design flow, Synopsys, and Mentor Graphics tools is required.
The applicant must be capable of debugging and troubleshooting electronic design tool installation/maintenance and interaction with 3rd party tools and process design kits.
Experience in deriving verification test benches from detailed specifications is required.
Familiarity with at least one (1) commercial scripting programming language such as PERL or Python is required.

Desired Skills and Experience
Additional experience in digital circuit design is desired, including: Verilog/VHDL behavioral modeling
Digital IC design for manufacturing (JTAG insertion, test vector generation, Built-In Self-Test), an understanding of physical design issues including layout, familiarity with memory compilers
Cadence Skill code development, and fundamentals of Process Design Kits (PDKs).

Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.
 
Job Number: 19-09589
Job Location: Dayton, OH
Rate: OPEN
Per Diem: SPLIT
Overtime: YES
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Sebastian Hernandez
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Digital Design Engineer

Description:
Job Description:


  • Client is seeking an individual with specialized skills in digital design tools with a firm background in computer operating systems and processes necessary to develop Client and unique digital circuit blocks and apply advanced algorithms in next generation silicon processes.

  • Primary job functions will include system administration, installation, and maintenance of an advanced circuit design environment consisting of commercial- and government- developed electronic design software.

  • Other duties may include supporting analog and RF design, developing standard cell libraries, and testing fabricated chipsets.

  • The selected candidate will represent our Client and DoD customers in outside discussions and technical forums.

  • This position will require some travel and require a security clearance.




Required Skills & Experience


  • A BS Degree in Electrical/Electronic Engineering or Computer Science with five (5) years of experience is required.

  • The applicant must be familiar with the Red Hat enterprise operating system or comparable Linux distributions, installation, maintenance, port security, backup, and shell scripting.

  • Familiarity with installation and maintenance of the advanced Cadence Design Systems IC design flow, Synopsys, and Mentor Graphics tools is required.

  • The applicant must be capable of debugging and troubleshooting electronic design tool installation/maintenance and interaction with 3rd party tools and process design kits.

  • Experience in deriving verification test benches from detailed specifications is required.

  • Familiarity with at least one (1) commercial scripting programming language such as

  • PERL or Python is required.




Desired Skills and Experience

Additional experience in digital circuit design is desired, including: Verilog/VHDL behavioral modeling, Digital IC design for manufacturing (JTAG insertion, test vector generation, Built-In Self-Test), an understanding of physical design issues including layout, familiarity with memory compilers, Cadence Skill code development, and fundamentals of Process Design Kits (PDKs).



Special Requirements/ Certifications:

Must be US citizen and eligible for security clearance. The National Agency Check with Inquiries (NACI) is a background investigation primarily for federal employees who will not have access to classified information. DoD clearance is not required.

Opportunity for contract-to-hire based on worker performance, worker attendance and dependability and client business needs
 
Job Number: 18-12267
Job Location: Dayton, OH
Rate: 0.0USD/Hour
Per Diem: SPLIT
Overtime: Possible
Duration: 2018-08-10 00:00:00.0
Start Date: 2018-05-13 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Cody Grimes
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Elect Design and Analysis Engineer 3 & 4

Description:
Elect Design and Analysis Engineer 3

Location: El Segundo, CA
Duration: 6 months

Description:
Analyzes customer and system requirements to develop basic architectural approaches and detailed specifications for various electronic products. Develops high-level and detailed designs consistent with requirements and specifications. Validates designs through various methods of review, testing and analysis. Identifies, tracks and statuses technical performance measures to measure progress and ensure compliance with requirements. Supports Supplier Management with make/buy recommendations and other technical services of limited scope. Provides engineering support throughout the lifecycle of the product. Investigates emerging technologies to develop concepts for future product designs to meet projected requirements. Works under general direction.

Education / Experience:
Bachelor's degree and typically 5 or more years' experience in an engineering classification or a master’s degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification.

Additional Comments:
Looking for candidates with Wire and Harness Design experience.

Skill Code:
676-Digital and Analog Circuits
 
Job Number: 19-02687
Job Location: El Segundo, CA
Per Diem: split
Overtime: possible
Duration: 6 months
Start Date: 2/22/19
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Constance Whitcomb
Address: 859 STEPHENSON HWY
City, State: TROY, MI 48083
Phone: 843/955-9221
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Development Engineer

Description:
One of the country's leading heavy trucking manufacturers is looking for an Electrical Development Engineer to support the body controller team with answering/solving critical current product issues and with design and testing of new software functionalities.

Essential Duties and Responsibilities
• Investigate software and electronic issues by going through a thorough troubleshooting process. Report issues to supplier or internal stakeholders and follow through resolution
• Answer shopcall issues to support plant builds
• Answer custom work orders (CWO) requests
• Release software parameters
• Author ECU software specifications in internal documentation tools
• Support the team during the ECU communication and interface update phase and for the ECU extract
• Perform component test bench verification tasks for software functionalities to confirm that they were implemented to specifications

Required background experience and skills
• BS degree in electrical or computer engineering (MS degree preferred).
• 2+ years of previous work experience with electronic components and software development
• Experience with electronic component and software testing.
• Strong troubleshooting and analytical mindset
• Good oral, presentation, and written communication skills.
• Customer Service-supportive mindset
• Proficient PC skills including Office suite

• Automotive or Truck Electronic and software development experience preferred
• Programming languages:
o Matlab/Simulink required
o Python/C preferred
• Experience with CAN Network communication and CAN tools (CANalyzers/etc.)

Top 3 MUST-HAVE Hard Skills
• Testing of electronic and software feature requirements on bench equipment
• Troubleshooting mindset
• Experience in Software Development for automotive electronic features



Additional Information:

Hours: Mon - Fri 8am - 5pm
Duration: 18 months - possibility for 24 months
Location: Portland, OR (Swan Island)
 
Job Number: 5665
Job Location: Portland, ORE
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 18+ months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: OLSA RESOURCES INC
Attention: Dina
Address: 3485 NE JOHN OLSEN AVE
City, State: HILLSBORO, OR 97124
Phone: 503/608-7895
Fax Phone: 503/608-7896
Website: www.olsaresources.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer II, III, IV, & V - (MO)

Description:
Active Secret Clearance Required To Start.

We are seeking highly motivated engineers with design and analysis experience to support Vehicle Management System (VMS) development, integration and test, including Electronic System Integration Laboratory (ESIL) development and integration, and requirements validation/verification efforts, and ultimately flight testing. Personnel are needed to support the Navy MQ-25 carrier based autonomous tanker program as well as numerous proprietary efforts. Work will be performed at the St. Louis area campus locations supporting Phantom Works, Strike, Surveillance and Mobility, and other business units. Candidates will support or potentially lead development and integration activities for various fixed wing, weapons and proprietary programs.

POSITION RESPONSIBILITIES
• Understand airplane input signal processing, redundancy management, system monitoring and control
• Flight Control Components hardware (HW) and software (SW) system-level verification and validation testing including hardware design, integration and qualification
• Develop requirements for the Vehicle Management System (VMS) test assets
• Monitor supplier performance to ensure system integration and compliance with requirements
• Perform hardware root-cause analysis and support overall system integration anomalies
• Work with a variety of airplane analog sensors (Linear Variable Differential Transformers (LVDT), pressure sensors, proximity sensors, etc.)

Basic/Required Qualifications:
• Experience with avionics integration and lab environments

Preferred Qualifications (Desired Skills/Experience):
• Strong knowledge of VMS design activities (HW/SW design, integration, modeling, and test procedure development
• Experience in analog and digital circuit design and analysis
• Experience with Microsoft Visio, Clearcase, ClearQuest, C++, C#, Visual Studio, Python

Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEMO
Job Location: Hazelwood, MO
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 12+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer III - (Everett & Seattle)

Description:
Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEWA
Job Location: Seattle, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer - Vehicle Mechatronics

Description:
One of the world's leading heavy truck manufacturers is looking for an Electrical Engineer to join their team!



Purpose:

Apply engineering principles to improve electronic components.
This includes the development of concepts to improve operation of components and the analysis of associated technical problems.
Manage considerable complexity while working with minimum of supervision.


Essential Duties and Responsibilities:

Creates and updates project plans.
Plan, coordinate, and supervise the testing, and documentation of the results for new and existing components and trucks in all product lines. Develop and document application- specific test plans.
Creates, modifies, and uses analytical tools and experimental methods.
Orders experimental hardware for evaluation.
Provides test instructions to laboratory technicians and advises laboratory personnel of instrumentation needs.
Reviews and analyzes experimental and analytical results.
Composes monthly progress reports and specifications and/or contract proposals.
Presents periodic oral project reviews.
Documents completed projects in written reports.
Maintains technical expertise in areas of specialization by reading literature, joining societies, attending conferences, writing technical papers, etc.
Trains other engineers in areas of expertise and specialization.
Participates in technical and industry associations.
Manages projects conducted internally and at outside companies.
Maintains clean and safe work area.
Maintains files of correspondence, technical information, and experimental or analytical results.
Exchanges information with colleagues.
Required background experience and skills:

MS degree or BS degree in electrical, computer, or mechanical engineering.
2-4 years of previous work experience with electronic components or Ph.D. in electrical engineering.
Good oral, presentation, and written communication skills.
Knowledge of the basic principles of combustion engines.
Proficient PC skills including word processing and spreadsheet software.
Experience with hydraulic, pneumatic, and mechanical systems.
Familiarity with electronic component and software testing.
Experience with instrumentation for making electrical, mechanical, thermodynamic, noise, and emissions measurements.
Knowledge of engine controls, dynamics, vibration, and acoustics.
Interpersonal skills.
Analytical skills.
Preferred but not required:

Automotive Industry Experience
Top must haves:

2+ years of engineering experience
Completed education in electrical, computer, or mechanical engineering
Additional Information:

Location: Portland
Duration: 2 year contract
 
Job Number: 5662
Job Location: Portland, ORE
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 2 years
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: OLSA RESOURCES INC
Attention: Dina
Address: 3485 NE JOHN OLSEN AVE
City, State: HILLSBORO, OR 97124
Phone: 503/608-7895
Fax Phone: 503/608-7896
Website: www.olsaresources.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer Design 2 / no clearance / URGENT CA

Description:
Electrical Engineer Design 2 (Job Number: 19006187)

Number of Openings: 1

Location(s): United States-California-Manhattan Beach


Shift: 1st Shift

Target Start Date: Apr 29, 2019

Target End Date: Apr 24, 2020

Pay Rate: $42 top $44/hr
Location: Manhattan Beach, CA
Work Schedule: 9/80
Duration: 1 Year

NEED CANDIDATES IMMEDIATELY - PLEASE RUSH!

Job Description:

The Ground Systems Development department is seeking Digital Test Set and FPGA developers who are familiar with development and design of digital test systems including PCB, digital drawers, and FPGA design, experience with schematic capture and Xilinx Vivado FPGA design tools, and experience with a variety of digital/analog commercial test equipment. The primary assignment is to develop test sets for space based electronics ranging in size/complexity from modules to units to subsystems.
Candidates will have effective written and verbal communication skills and must be able to clearly present technical approaches and summaries. Candidates must be able to work without appreciable direction.

Basic Qualifications:

- 2 Years with Bachelors in Science; 0 Years with Masters; 0 Years with PhD.

- Demonstrated proficiency using digital test equipment

- Demonstrated proficiency developing digital electronics
 
Job Number: JK-1747
Job Location: Manhattan Beach, CA
Rate: 42-44/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic System Design & Analysis

Description:
Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Education/experience:
Bachelor's degree and typically 5 or more years' experience in an engineering classification or a master’s degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: ESDE_OK
Job Location: Oklahoma City, OK, OK
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic/Electrical Design & Analysis Engineer III - (Oklahoma City, OK)

Description:
Several openings in Oklahoma City.

Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic/Electrical Designer II & III - (WA)

Description:
Develops, maintains and releases electrical/mechanical drawings, systems designs or engineering documentation and data by applying company, industry and governmental standards. Using design tools prepares documentation and incorporates design changes. Creates, develops and maintains systems designs by preparing design layouts, modifying existing designs and assisting in identifying design discrepancies. Supports engineering system design and functionality validation activities. Develops and maintains product installation designs and part designs ranging from simple to moderately complex. Coordinates activities and products with internal partners and external suppliers. Works under general direction.

The Electrical Engineering Technical Designer will be supporting primarily BDS and BCA programs and may need to support in an office setting as well as a factory and flight line setting. Overtime will be required (10-15%).

CATIA V5

Prefer: AA degree in related discipline and typically 3 or more years of related experience or an equivalent combination of education and experience.
 
Job Number: EEDesignerTukwila
Job Location: Tukwila, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronics Design and Analysis Engineer

Description:
Leads analysis of customer and system requirements and development of architectural approaches and detailed specifications for various electronic products.
Leads development of high-level and detailed designs consistent with requirements and specifications.
• Leads reviews of testing and analysis activity to assure compliance to requirements. Identifies, tracks and statuses technical performance measures to measure progress and ensure compliance with requirements. Leads activities in support of Supplier Management with make/buy recommendations and other technical services.
• Coordinates engineering support throughout the lifecycle of the product.
Plans research projects to develop concepts for future product designs to meet projected requirements. Works under minimal direction
Bachelor's required
 
Job Number: EDA2018
Job Location: Oklahoma City,, OK
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Shailendra Mishra
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrophysics Engr/Scien 3

Description:
Electrophysics Engr/Scien 3

Location: Oklahoma City, OK
Duration: 6 months

Description:
OKC Electromagnetic Effects Group is seeking experienced electromagnetic effects engineer. The candidate will mentor and coach lesser experience engineers providing knowledge transfer to aid in growing our next generation of engineers. The candidate will provide engineering and technical decisions for Commercial Airplanes (737, 767, 787 and 777) diverse projects. Main area of focus will be to review supplier and partner qualification data to ensure compliance to client and FAA EME requirements and to provide engineering and technical decisions for client Military Aircraft, Military Derivative Aircraft and Rotorcraft (AEW&C, AWACS, C-32/C-40, E-4B, VC-25A, KC-767, E-6, AC-130U, B-1B, B-2, B-52, V-22, and others) and diverse projects. Assignments will provide an excellent opportunity to learn aircraft electromagnetics effect on the various platforms.

Assignments may deal with:
- Reviewing supplier and partner qualification data
- Nuclear Hardening analysis and test
- EMI/C analysis and test
- EMSEC/TEMPEST analysis and test
- HIRF/Lightning analysis and test
- RF Co-Site analysis
- Antenna Coupling analysis
- Electrical Power Quality and Load analysis
- Test Automation for aircraft modifications.

Other job responsibilities may include:
- Develops and validates requirements for various communication, sensor, electronic warfare and other electromagnetic systems and components
- Develops and validates electromagnetic requirements for electrical\electronic systems, mechanical systems, interconnects and structures
- Develops architectures to integrate systems and components into higher level systems and platforms
- Performs trade studies, modeling, simulation and other forms of analysis to predict component, interconnects and system performance and to optimize design around established requirements
- Defines and conducts tests to validate performance of designs to requirements
- Manages appropriate aspects of supplier and partner performance to ensure compliance to requirements
- Provides support to products throughout their lifecycle by developing root-cause analysis of anomalies and other engineering support as requested
- Supports project management by providing work statement, budget, schedule and other required inputs and by reporting project status
- Writes appropriate sections of proposals to support development of new business
- Works under general mentorship.

Skill Code: 62Q-Electromagnetic Compatibility

Education / Experience:
Degree and typical experience in engineering classification: Bachelor's and 5 or more years' experience, Master's degree with 3 or more years' experience or PhD degree with experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.


Please share updated resume at ravi@iconma.com if interested.
 
Job Number: 19-03720
Job Location: Oklahoma City,, OK
Per Diem: Available
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Ravi Kumar
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/234-6123
800 Phone: 866/914-9724
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineer Circuit Design 4 / FPGA / digital test equipment / developing digital electronics / no clearance CA

Description:
Engineer Circuit Design 4 (Job Number: 19001559, 19001702, 19001715, 19001722)

Number of Openings: 4

Location(s): United States-California-Manhattan Beach


Shift: 1st Shift

Target Start Date: Apr 29, 2019

Target End Date: Apr 24, 2020

Pay Rate: $68-$72/hr.
Location: Manhattan Beach, CA
Work Schedule: 9/80
Duration: 1 Year

Security Clearance: N/A

Job Description:

The Ground Systems Development department is seeking Digital Test Set and FPGA developers who are familiar with development and design of digital test systems including PCB, digital drawers, and FPGA design, experience with schematic capture and Xilinx Vivado FPGA design tools, and experience with a variety of digital/analog commercial test equipment. The primary assignment is to develop test sets for space based electronics ranging in size/complexity from modules to units to subsystems.
Candidates will have effective written and verbal communication skills and must be able to clearly present technical approaches and summaries. Candidates must be able to work with without appreciable direction.


Basic Qualifications:

- 9 Years with Bachelors in Science; 7 Years with Masters; 4 Years with PhD.

- Demonstrated proficiency using digital test equipment

- Demonstrated proficiency developing digital electronics


Preferred Qualifications:

- Experience designing high speed FPGAs
- Experience developing digital test systems
- Experience testing and validation of digital space electronics
 
Job Number: MB-1720
Job Location: Manhattan Beach, CA
Rate: 68-72/hr DOE
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineer Circuit Designer 4 CA

Description:
Engineer Circuit Designer 4 (Job Number: 19005125)

Number of Openings: 1

Location(s): United States-California-San Diego


Shift: 1st Shift

Target Start Date: Apr 29, 2019

Target End Date: Oct 25, 2019

Pay Rate: $68 to $72/hr

Work Schedule: 9/80

Duration of Assignment: Approx 6 month

Job Description:


Qualified applicant to support electronics modernization effort. The SOW include performing detail electrical circuit design, circuit level analysis, support qualification testing, preparing ATP and QTP and support electrical circuit and LRU manufacturing.


Basic Qualifications:

9+ years experience with Electrical Engineering Bachelor’s Degree or an equivalency of 17 years plus High School Diploma.

Digital, analog and power circuit design experience
•Experience with OrCAD/pspice
•Experience with PCB troubleshooting
•Experience with PCB layout and routing
•Strong verbal and written communications



Preferred Qualifications:

Working knowledge of engineering practices/procedures regarding electronic components
 
Job Number: MB-1733
Job Location: San Diego, CA
Rate: 72/hr max
Per Diem: no
Duration: 6 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineer Structural Analysis 4 / 2nd shift / Fighter Aircraft experience preferred / No Clearance Required CA

Description:
164135
1715 Engineer Structural 4 (Job Number: 19000785)

Number of Openings: 1

Location(s): United States-California-Palmdale


Shift: 2nd Shift

Target Start Date: Feb 11, 2019

Target End Date: Feb 7, 2020

Pay Rate: $70 to $73/hr

Work Schedule: 2nd shift - 4/10

Duration of Assignment: Up to 1 year



General:

Analyzes, researches and develops structural engineering specifications involving metals, non-metallic or composite structural materials for product design, or operation of product to include structures, mechanical, hydraulic, electrical and electronics, power plant, armament, heating and ventilating, equipment and maintenance designs. Analyzes damage tolerance, durability, design allowables and structural modeling. Specific structural engineering specialties may include dynamics and loads, stability and stress fatigue and thermal analysis. Tools utilized may include CATIA, IDEAS, ProEngineer and a variety of software applications.



Specific:

Successful candidate will be a member of the F-35 Center Fuselage IPT, supporting the assembly of the Center Fuselage at Palmdale Aircraft Integration Center. Candidate should be experienced in structural analysis methods, including large scale NASTRAN modelling and analysis of metallic and advanced composite structures. Desired skills include familiarity with detailed structural analysis procedures, FEM analysis, PATRAN, layout sizing, structural integrity evaluations, durability and damage tolerance (DaDT) of aircraft structures, material allowables development, acoustic/dynamic evaluations, and weight control. Responsible for liaison support as well as analysis of parts and installation of these parts in the JSF Center Fuselage. Experience should include the development and first-hand assembly line support of aircraft structural parts and assemblies utilizing metallic/composite material (launch vehicle experience considered)


Basic Qualifications:

9 Years with Bachelors in Science; 7 Years with Masters; 4 Years with PhD.


Preferred Qualifications:

Composites, DaDT(Metallics), and MRB support.

Fighter Aircraft experience preferred.
 
Job Number: MB-1715
Job Location: Palmdale, CA
Rate: 73/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineering Designer IV

Description:
Location: Canoga Park, CA
Rate: $65.00 - $75.00/HR
Duration: 2 years contract
Shift: 9/80 Schedule (OT Possible)
Per Diem Split: Yes (if eligible)

**Candidates must be U.S. Citizens**
**Permanent residents are NOT eligible for this role**
**Dual citizens are NOT eligible for this role. Also, candidates with a current non-U.S. passport in addition to a U.S. passport are NOT acceptable**

** Candidates should have experience specifically with flight electronics packaging for space applications**
**Candidates should have design experience of electro-mechanical packaging or cable harnesses**

Duties:
- Candidates should have experience specifically with flight electronics packaging for space applications
- Candidates should have design experience of electro-mechanical packaging or cable harnesses
- Perform engineering design tasks related to space electronics based products.
- Duties include electronics packaging of complex space electronics, defining electrical-mechanical interface, capture design in CREO and to fully participation in team-development environment.
- Support the design and development of electronics hardware, working closely with Electrical Engineers, Printed Wiring Board (PWB) designers, electrical systems, manufacturing, thermal and stress analysts. Position will support various different Electric Propulsion programs

Skills:
- Proficiency in the use of CREO PDM/Link, Windchill and Pro/Cabling application.
- Proficiency in generating drawings is required.
- Familiarity with aerospace/defense engineering design and production processes and disciplines.
- Experience in Electronic Packaging Design, Drawing Generation, GD&T, Tolerance Stack-up analysis.
- Extensive experience in PRO-E/CREO CAD design are a must (NX CAD design preferred).
- Advanced oral and written communication skills expected which will be used to coordinate and collaborate with local Operations, as well as distant-located Engineering organizations and customers.
- Able to create, read and understand engineering drawings, electrical schematics and wiring diagrams.

Education:
- Requires a Bachelor's degree in Mechanical Engineering and 10 years of direct experience with packaging power electronics. Willing to accept experience in lieu of Bachelor's Degree.
 
Job Number: 10532
Job Location: Canoga Park, CA
Rate: $65.00 - $75.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 2 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
FPGA / DSP Design Engineer w/ ASIC (584)

Description:
*These are full time, 1 year contract positions, located in Orlando*


We seek a hands-on ASIC FPGA/DSP Design Engineer to design electronic circuits. Will be responsible for component selection, evaluation, test box design, prototype creation and checkout along with digital and analog analysis. Engineer will design and develop evaluation boards and test methodologies/interface hardware required to evaluate the design through systems integration, test and final device design and customer approval.



Required:
*6+ years’ experience for level 3, conducting firmware digital design engineering using FPGA/DSP or 11 years experience for Level 4 job.
*Familiar with video system design, synchronization, image processing operations and display formats.
*Active Secret Clearance

*On site work only, no remote work possible for this position.
*BSEE



Pluses:
*Verilog, C/C++, MathLab/Simulink, System Verilog languages; Synopsis Synplify, Synopsis VCS, NCSim, ChipScope tool sets.
*Familiar with Xilinx, Altera Part family internal FPGA fabric and IP and model based compilers

*Aerospace design experience
 
Job Number: 584
Job Location: Orlando, FL
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
FPGA Design/Verification Engineer - Clearance TX

Description:
163983
1333-1 FPGA Design/Verification Engineer

Work Location: Fort Worth, TX
Status: Open

Qty: 2
Desired Start Date: 1/28/2019
End Date: 8/1/2019

Job Description:
Researches, designs, develops, and tests a variety of electronic equipment and systems, including radar and radiation detection equipment; radio, television and other communications equipment and systems; circuitry components; analog and digital computers; and control and test equipment.

This position is for an engineer working in the Fort Worth IFG Hardware Design Team. Job responsibilities will include supporting development of Hardware, Software & Firmware for aircraft.

Responsibilities include:
• Electronic circuit design including Digital and Analog
• Electronic circuit board design, lay out, and routing
• FPGA development, integration, and test
• Electronic hardware testing and troubleshooting
• Support Software Development efforts for target hardware
• Author and Review Hardware Specifications
• Development and review of detailed engineering documents for firmware and hardware release
• Lead and Develop early career team members

Work Location: Fort Worth, TX
Level: Level 3: BS+9-15 YRS or MS+7-13 YRS
 
Job Number: JE-1333-1S
Job Location: Fort Worth, TX
Rate: open
Per Diem: no
Duration: 8 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Facility Maintenance Planner

Description:
Location: Vandenberg AFB (Lompoc), CA
Rate: $30.50/HR
Duration: 1 year contract

****MUST be a U.S. Citizen or Permanent Resident****

Requirements:
1. Seeking an organized detailed oriented individual who can work successfully in a dynamic launch site environment.
2. Seeking an individual who can work well alone or as part of a team.
3. Required to be proficient in a computer related field. Information Technology, Programming, or other Database Management field.
4. Looking of 3+ yrs of experience.
5. EAM / 7i experience desired.
6. Database management and experience preferred.
7. Attention to detail and consistency are pivotal for proper database management.

Job Description:
1. Member of our clients Operations Engineering and Infrastructure (E&I) organization, whose responsibilities include the design, operation, maintenance, and system evolution of all launch site facility and facility support systems, including Environmental Control & HVAC, Facility Electrical/Power, Communications and Data Networks, and Structural/Mechanical ground systems.

Maintenance Planning Role:
1. Identifies, coordinates, plans, schedules and executes preventive and predictive maintenance for facilities equipment, tooling and machinery.
2. Recommends modifications of existing equipment and facilities and the possible replacement of existing equipment, which will improve operations and reduce maintenance costs.
3. Ensures maintenance of records to document safe and efficient operation of equipment and facilities.

Responsibilities:
1. Responsibilities include planning and scheduling of facility maintenance tasks associated with Launch sites at VAFB.
2. Communicates with Engineering and Technicians to ensure accurate and timely maintenance.
3. Provides work orders to execute on-time support required for testing/launch processing activities for 100% Mission Success.
4. Supports commonality of Maintenance planning processes across all product lines and work locations.
5. Provides accurate metrics of maintenance activities to support management reviews and presentations. 6. Support and manage electronic files to ensure security and integrity of the work being generated.
7. Assists Engineering with procedure creation and/or update existing documents to meet organizational goals.
8. Must have excellent communication, writing, and oral skills and have the ability to work with a wide range of team members including company represented personnel, customers, and subcontractors.
9. Proficient knowledge in Microsoft Office Suite.

Education:
1. Requires a bachelor’s degree and 2 - 6 years of experience
 
Job Number: 10625
Job Location: Lompoc, CA
Rate: $30.50/HR
Per Diem: Split Possible
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Guidance Navigation & Control Engineer (Embedded Software Development)

Description:
Client is seeking a multi-disciplinary engineer to design, implement, and verify embedded flight electronics software algorithms, working under minimal direction.

Responsibilities include:
• Eliciting and defining the software requirements.
• Designing, implementing, and integrating a solution to meet the requirements.
• Planning and executing the validation of the requirements and verification of the solution.
• The solution design and implementation are developed using Model-Based Design languages (Simulink, embedded MATLAB, and Stateflow), from which C++ code will be generated.

Area of Expertise:
•Embedded Software Development
•FAA certification requirements

Technical Skills:
•Simulink
•Version Control
•Requirements Development
 
Job Number: GNCDEC
Job Location: Long Beach, CA
Rate: Best in Market
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
INSTRUMENT AND CONTROLS ENGINEER

Description:
INSTRUMENT AND CONTROLS ENGINEER



Ponca City Refinery:

The Ponca City Refinery in Oklahoma has a crude oil processing capacity of 203 MBPD. Both foreign and domestic crudes are delivered by pipeline from Canada, Oklahoma, Kansas and Texas. The refinery's facilities include fluid catalytic cracking, delayed coking and hydro-desulfurization units that enable it to produce high ratios of gasoline and diesel fuel from crude oil. Finished petroleum products are shipped by truck, rail and Company-owned and common carrier pipelines to markets throughout the mid-continent region. Operations are run 24 hours per day, seven days per week.



Major Products: Gasoline, Diesel, Jet fuel, Kerosene, Heating oils.

Capacities: Crude oil and Other Charge Capacity: 203,000 BPD; Gasoline Production Capacity: 110,000 BPD; Distillate Production Capacity: 85,000 BPD.


 

Description:

The Instrument Engineer is responsible for the design, engineering, and implementation of process instrumentation and control systems from initial conception through commissioning. The Instrument Engineer is responsible for design and engineering that provide the most reliable and cost- effective instrument and control systems. The Instrument Engineer also provides consulting services to process engineers in developing process design specifications, develops operating and training manuals for mechanical and process personnel, and participates in training operating personnel. The Instrument Engineer writes purchase and construction specifications and writes and performs Factory Acceptance Tests procedures. The Instrument Engineer specifies, procures, and configures Distributed Control Systems, and field hardware such as transmitters, control valves, and electronic transmission systems.

 

The Instrument Engineer utilizes American Petroleum Institute (API) Standards, Instrumentation, Systems and Automation Society (ISA) Standards, National Fire Protection Association (NFPA), and ConocoPhillips standards and practices to perform the above functions..

 

Responsibilities may include:



  • Instrumentation and controls capital projects engineering including front-end-loading, cost estimates, specifications and oversight of detailed design.

  • Identifying areas where instrumentation/controls can improve plant operation and profitability

  • Owning and leveraging the application of instrumentation safety standards, mechanical integrity, design, and maintenance.

  • Functioning as Project Engineer on instrumentation and control system capital projects and large instrumentation maintenance projects

  • Using documentation systems and processes which maintain accurate instrumentation equipment and control system information and drawings

  • Supporting criticality assessments of the plant's instrumentation equipment

  • Designing Refinery Safety Instrumented Systems (SIS) design and maintenance

  • Performing Safety Integrity Level (SIL) analysis and engineering upgrades of safety instrumented systems

  • Assisting in developing strategic plans for implementing/upgrading instrumentation and control systems

  • Serving as a technical resource to properly identify new and existing equipment specifications and proper operating parameters/ranges for instrumentation equipment

  • Overseeing application and interpretation of National Electric Code and other applicable city, state, federal codes and standards (e.g. NPRA, NFPA, API, ISA)

  • Performing audits of instrumentation installations and process control loops including failure analysis inspections

  • Interacting with engineering and construction contractors, both on- site and off- site

  • Review instrument changes for Management of Change approval.  Ensure that changes are properly designed and documented.

  • Assist with training and development of operators, technicians, and engineers in order to high-grade skill sets with respect to the instrument asset base.

  • Support DCS operator interfaces with respect to information presentation and abnormal situation management.

  • Facilitate increases in unit availability, increases in product quality, and reductions in refinery maintenance costs by developing and maintaining reliability systems for the plant's instrumentation assets. Provide leadership for implementation of these reliability systems.


QUALIFICATIONS

 

Basic/Required:  



  • Must possess a bachelor's degree in Electrical Engineering, Chemical Engineering, Mechanical Engineering or Engineering Physics or equivalent

  • 3+ years of experience in a refinery and/or chemical industry

  • 3+ years of direct experience in process instrumentation design and/or maintenance.


 

Preferred:  



  • Knowledge of the Ponca City Refinery instrumentation asset base.

  • Proficient in use of SAP - PM & PS Modules

  • Demonstrated knowledge and experience designing and supporting Safety Instrumented Systems (SIS), Safety Instrumented Functions (SIF), Layers of Protection Analysis (LOPA), and High Integrity Pressure Protection Systems (HIPPS).

  • Proficient in computer applications such as Word, Excel, Outlook, and MS Projects

  • Expert knowledge of field instrumentation and controls through design and field installation experience

  • Demonstrated knowledge and experience on Programmable Logic Controllers (PLC) and Distributed Control Systems (DCS), preferably on Client TDC3000 / Experion and FSC Safety Managers.

  • Demonstrated knowledge on Process Analyzers, Chromatographs, and Gas Monitoring Systems.

  • Demonstrated knowledge and use of SPI (InTools) database and specifications

  • Strong analytical skills including statistical analysis

  • Strong interpersonal and written communication skills

  • Ability to lead execution of work and resolve issues in a team environment

  • Accepts ownership, is accountable, and delivers on commitments


Ability to work in cross-functional teams

 


 




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.

 
 
Job Number: 18-50643
Job Location: Ponca City, OK
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Start Date: 2018-12-04 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Kristy Thompson
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Jr Thermal Engineer

Description:
Major responsibility will be in the area of fluid and thermal analysis of vehicle subsystems and components subject to operational loads under diverse environments. Candidates must be fully competent in the area of Computational Fluid Dynamics and Heat Transfer modeling and analysis using Star-CCM+ (preferred), ANSYS Fluent, or ANSYS CFX. Electromagnetic simulation experience using ANSYS HFFS is a plus.
Previous experience in system level cooling analysis is also highly desirable.
Excellent oral and written communication skills.
BS degree in Mechanical, Aerospace, or Chemical Engineering is required, MS preferred.
Up to 5 years of experience.
Candidates should have good working and theoretical knowledge of Computational Fluid Dynamics (CFD) analysis and be able to work effectively in a team environment.
Previous automotive, marine, and electronics packaging experience is desirable.
Programing experience in C, C++, Java, and Fortran is desirable.

REQUIRED SKILLS:
Previous experience with CFD analysis tools

PREFERRED SKILLS:
Past experience with Star-CCM+, Fluent, and HFSS

REQUIRED EXPERIENCE:
BS and 3 years or MS and 1 year

REQUIRED EDUCATION:
Engineering Degree

PREFERRED EDUCATION:
Master of Science in Engineering
 
Job Number: 47941
Job Location: San Jose, Ca
Duration: 12 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Rick Nussbaum
Address: 7310 RITCHIE HWY STE 400
City, State: GLEN BURNIE, MD 21061-3092
800 Phone: 866/277-3343
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Logistics Management Analyst

Description:
Location: Fort Worth, TX
Rate: $39.41/HR
Duration: 1 year contract

****MUST be a U.S. Citizen****


Job Description:
- Controls the efficient flow of goods, services, and information between points of origin through customer placement in order to meet customer requirements.
- Ensures that customer service and time objectives are achieved within existing financial constraints in order to meet marketing and financial objectives.
- Ensures the execution and continuous improvement of standard logistics processes, such as the replenishment system, data interchange systems, demand management, electronic data systems administration and related functions.
- Builds relationships with strategic customers through logistics initiatives. Integrates learning from customers, competitors, operating entities, distribution, transportation, customer service, other industries, industry groups, and professional training to continuously improve competitive position.

Position’s primary responsibilities will include:
- Assisting Import Control with classifying items pending import classification instructions, proactively classifying items currently in SAP GTS system not assigned US HTS, reviewing currently assigned HTS classifications and updating as necessary, remaining current with legislative changes in additional tariff classifications as well as scheduled updates/changes to HTSUS and maintaining necessary updates and notations in SAP/GTS, identifying and gaining access to proprietary information databases for the purposes of classification, evaluating and providing guidance on use of chapter 9801-9802 provisions, providing internal training on advanced classification topics.
- In addition, position may perform research and assist in determining eligibility for foreign trade agreements, determine participating government agency regulation, applicability of ADD/CVD regulations, partner with different internal groups to support project work.
- Classify items previously not imported under HTSUS
- Review current HTSUS classifications to vet or correct as needed
- Partner with export classification specialist for project/assistance
- Partner with other business units for projects as needed
- Provide training to Import Control teammates
- Remain current in all additional specialized tariffs
- Partner with ITC and Brokerage firms to determine OGA status
- Maintain GTS HTS classifications, including additional regulations such as ADD/CVD, specialized tariffs, relevant binding rulings, and information specific to the product in GTS notes
- Potentially assist in evaluate FTA feasibility, work with programs to obtain necessary documentation, maintain yearly blanket documentation
- Potentially maintain and provide to forwarders FTA blanket statements
- Potentially support B schedule import activities

Education / Requirements:
- Bachelor’s degree in legal, business management, or supply chain.
- At least 5 years of experience researching and classifying under HTSUS wide range of products with little direct supervision
- Expertise in reading, understanding, and applying data in HTSUS, including General Rules of Interpretation, Additional Rules, and Section and Chapter notes.
- Expertise in utilizing explanatory notes, published binding rulings, informed compliance publications, customs bulletins
- Expertise in understanding Federal Code of Regulations Title 19 part 10 and 152 as it applies to requirements of classification and applying it to specific classification scenarios.
- Experience in understanding detailed product literature and determining best contact group for clarification and additional details.
- Previous work employed by an importer preferred.
- Exceptional written and verbal communication skills.
- Project management experience preferred.
- Ability to work independently while integrating projects with wider team environment required.
- High level of reading comprehension required.
- Meticulous attention to detail.
- I Adept at working professionally with personnel of different knowledge, skill sets, and priorities required.
-Experience with Microsoft Office suite required.
-Experience with SAP / GTS preferred
 
Job Number: 10485
Job Location: Fort Worth, TX
Rate: $39.41/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 1 year
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Logistics Management Analyst

Description:
Logistics Management Analyst
Location: Fort Worth, TX
Pay Rate: $39.41
Duration: 1 Year
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**

Execute the efficient flow of military aircraft parts, components and support equipment around the globe within a diverse team environment.
Desired knowledge, skills and expertise:
- Export Licensing, Documentation & Logistics
- EAR/ITAR Jurisdiction Determination,
- Schedule B / Harmonized Tariff System (HTS) classification.
- Federal Trade Regulations (FTR) including AES / ACE Electronic Export Information submittals.
- International Incoterms, exporter of record and importer of record requirements
- Global Trade Services (GTS) and SAP software.
- IATA and DOT Dangerous Goods Regulations
- Customs Clearance Process awareness

Flexible working hours required
SAP - 1+ years experience preferred
 
Job Number: 10490
Job Location: Fort Worth, TX
Rate: 39.41
Per Diem: Split Possible
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Logistics Management Analyst TX

Description:
1389-1 Logistics Management Analyst
Work Location: Fort Worth, TX
Status: Open
Submitted: 2/7/2019 5:08:41 PM

Qty: 1
Desired Start Date: 2/21/2019
End Date: 2/20/2020

Required experience:
Experience with SAP / GTS
Experience Classifying items previously not imported under HTSUS
Experience Reviewing current HTSUS classifications to vet or correct as needed
At least 5 years of experience researching and classifying under HTSUS wide range of products with little direct supervision
Expertise in reading, understanding, and applying data in HTSUS, including General Rules of Interpretation, Additional Rules, and Section and Chapter notes

Job Description:
Controls the efficient flow of goods, services, and information between points of origin through customer placement in order to meet customer requirements. Ensures that customer service and time objectives are achieved within existing financial constraints in order to meet marketing and financial objectives. Ensures the execution and continuous improvement of standard logistics processes, such as the replenishment system, data interchange systems, demand management, electronic data systems administration and related functions. Builds relationships with strategic customers through logistics initiatives. Integrates learning from customers, competitors, operating entities, distribution, transportation, customer service, other industries, industry groups, and professional training to continuously improve competitive position.

Position’s primary responsibilities will include assisting Import Control with classifying items pending import classification instructions, proactively classifying items currently in SAP GTS system not assigned US HTS, reviewing currently assigned HTS classifications and updating as necessary, remaining current with legislative changes in additional tariff classifications as well as scheduled updates/changes to HTSUS and maintaining necessary updates and notations in SAP/GTS, identifying and gaining access to proprietary information databases for the purposes of classification, evaluating and providing guidance on use of chapter 9801-9802 provisions, providing internal training on advanced classification topics. In addition, position may perform research and assist in determining eligibility for foreign trade agreements, determine participating government agency regulation, applicability of ADD/CVD regulations, partner with different internal groups to support project work.

• Classify items previously not imported under HTSUS
• Review current HTSUS classifications to vet or correct as needed
• partner with export classification specialist for project/assistance
• partner with other business units for projects as needed
• provide training to Import Control teammates
• Remain current in all additional specialized tariffs
• Partner with ITC and Brokerage firms to determine OGA status
• Maintain GTS HTS classifications, including additional regulations such as ADD/CVD, specialized tariffs, relevant binding rulings, and information specific to the product in GTS notes
• Potentially assist in evaluate FTA feasibility, work with programs to obtain necessary documentation, maintain yearly blanket documentation
• Potentially Maintain and provide to forwarders FTA blanket statements
• Potentially support B schedule import activities

Bachelor’s degree in legal, business management, or supply chain.
At least 5 years of experience researching and classifying under HTSUS wide range of products with little direct supervision

Expertise in reading, understanding, and applying data in HTSUS, including General Rules of Interpretation, Additional Rules, and Section and Chapter notes.
Expertise in utilizing explanatory notes, published binding rulings, informed compliance publications, customs bulletins
Expertise in understanding Federal Code of Regulations Title 19 part 10 and 152 as it applies to requirements of classification and applying it to specific classification scenarios.
Experience in understanding detailed product literature and determining best contact group for clarification and additional details.
Previous work employed by an importer preferred.
Exceptional written and verbal communication skills.
Project management experience preferred.
Ability to work independently while integrating projects with wider team environment required.
High level of reading comprehension required.
Meticulous attention to detail.
Adept at working professionally with personnel of different knowledge, skill sets, and priorities required.
Experience with Microsoft Office suite required.
Experience with SAP / GTS preferred

Level: Level 2: BS+4-8 YRS or MS+2-6 YRS
Pay Rate: $38.00 Hourly
 
Job Number: JE-1389-1
Job Location: Fort Worth, TX
Rate: 38/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Logistics Management Analyst TX

Description:
163802
1296-1 Logistics Management Analyst
Work Location: Fort Worth, TX
Status: Open

Qty: 1
Desired Start Date: 1/29/2019
End Date: 1/28/2020

Job Description:
Execute the efficient flow of military aircraft parts, components and support equipment around the globe within a diverse team environment.
Desired knowledge, skills and expertise:
- Export Licensing, Documentation & Logistics
- EAR/ITAR Jurisdiction Determination,
- Schedule B / Harmonized Tariff System (HTS) classification.
- Federal Trade Regulations (FTR) including AES / ACE Electronic Export Information submittals.
- International Incoterms, exporter of record and importer of record requirements
- Global Trade Services (GTS) and SAP software.
- IATA and DOT Dangerous Goods Regulations
- Customs Clearance Process awareness

**Flexible working hours required

Region: Fort Worth, TX
Level: Level 2: BS+4-8 YRS or MS+2-6 YRS
Pay Rate: $38.00 Hourly

Experience
Software Skills SAP No 1
 
Job Number: JE-1296-1
Job Location: Fort Worth, TX
Rate: 38/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Planner III - Electrical/Electronics (Everett, WA)

Description:
Several openings in Everett, WA

Responsibilities include the following:
•Plans and leads department/organization processes for developing, implementing and maintaining various manufacturing plans, Bill of Materials, work instructions and illustrations to define and document as-built configuration.
• Leads investigation and resolution of complex or systemic manufacturing related issues.
• Develop work statements and sequencing of events to support delivery commitments.
• Leads development of complex work statements and sequencing of events to support delivery commitments.
• Develops and documents new job practices, techniques and standards
• Provide ship side support, research and shop assistance.
The successful candidate will have the following education, experience, skills and capabilities:

Required Qualifications:
• Minimum 6 years of experience working in a manufacturing engineering or a production environment
6 or more years experience using basic Microsoft Office and office computing systems

Preferred Qualifications:
• Experience using Common Manufacturing Execution Systems (CMES)
• WIRS - Wires Information Release System
• PMD/ CAPP II
• IVT - Integration Visibility Tool
• WQCS - Wires Query/Compare Systems

Understanding of wiring and wire routing
Experience with wire bundle fabrication concepts, wire installation, electrical equipment installation and installation of wiring provisions is desirable.
Understanding of the Boeing Production System
The successful candidate will have the following education, experience, skills and capabilities:

Required Qualifications:
• Minimum 6 years of experience working in a manufacturing engineering or a production environment
6 or more years experience using basic Microsoft Office and office computing systems


Prefer an AA Degree or higher, not required
 
Job Number: MFGPlannerEEWA
Job Location: Everett, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 5+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Planner- Electrical

Description:
Manufacturing Planner 3

Location: Everett, WA
Duration: 6+ Months

Description:
Develops, implements and maintains various manufacturing plans, Bill of Materials, work instructions and illustrations to define and document as-built configuration. Develops manufacturing concepts and strategies to support business objectives. Develops and integrates suppliers, processes, materials, data and technology to meet manufacturing and delivery requirements. Reviews and approves supplier data to ensure manufacturing related requirements are met. Develops tooling requirements based on manufacturing and engineering requirements. Manages tools from initial design to end of use disposition. Investigates and resolves manufacturing related issues. Performs producibility assessments for part or product designs. Provides producibility input to design, manufacturing processes and manufacturing technologies to meet cost and schedules. Develops integrated work statements and sequencing of events to support delivery commitments. Drafts, updates and reviews processes and procedures to support business and regulatory agency requirements. Works under general direction.

Education / Experience:
AA degree in related discipline and typically 3 or more years of related experience or an equivalent combination of education and experience.

Position Comments:
This position will support the Mesa Electrical Center of Excellence and will be responsible for providing electrical (wire harness and electrical panel fabrication) ME planning support for both military and commercial platforms. Previous wire harness and electrical panel fabrication is desired.

Skill Code: 67B-Electrical/Electronics
 
Job Location: Everett, WA
Rate: $50
Per Diem: Split
Overtime: Possible
Duration: 6 months
Start Date: Asap
Input Date: 05/01/2023
Last Updated: 08/10/2023
Firm Name: ICONMA LLC
Attention: Mohamed Zoheb
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/602-3856
Website: iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Designer

Description:
Location: Phoenix, AZ
Rate: $39.00/HR
Duration: 6 month contract
Per Diem Split: No

****MUST be a U.S. Citizen or Permanent Resident****
**** Candidate must be experienced with Teamcenter and NX modeling software and have aerospace packaging experience****

Job Description:
- Mechanical Designer/MCAD Drafter with emphasis on aerospace electronics packaging.
- Activities will include mechanical conceptual layout, detailed design, and engineering documentation.
- Will interface with other engineering groups to insure design requirements are fulfilled.
- The position may involve direct interface with customers (internal and external) and suppliers in support of developing an understanding of the mechanical design constraints.
- The position will work with cross functional teams to resolve issues.

Responsibilities may include:
- Manages highly complex drafting and design of assembly drawings based on layouts, sketches and blueprints.
- May include utilization of computer aided design applications.
- New product development from concept through production.
- Generate detailed solid models and engineering drawings.
- Processing documents through document change system.

Qualifications
- Minimum 8 years mechanical design experience required.
- H.S. Diploma required.
- Related technical degree a plus.
- Minimum 8 years solid modeling experience.
- Siemens NX11 a plus.
- Experience with Siemens Teamcenter PLM Software.
- Strong knowledge of GD&T ASME Y14.5.
- A background working on avionics design related experience a plus.
- Strong knowledge of manufacturing processes.
- Familiarity with DO-160 and DO-254 development guidelines a plus.
- Applicant should be highly motivated, with demonstrated leadership and communication skills.
- Close attention to detail required.
- Must be a US citizen or permanent resident.
- Good organization and planning skills required.
- Critical competencies: Customer Focus, Drive for results, Technical skills, Interpersonal savvy, Commitment to Continuous Improvement, Effective Teamwork.
 
Job Number: 10407
Job Location: Phoenix, AZ
Rate: $39.00/HR
Per Diem: No
Duration: 6 mos
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Engineer

Description:
No sponsorship for this position:

USC, Green Card / TN Visa Holders

Responsibilities

Develop & Design the company’s products using CAD tools based on customer requirements and/or specifications
Work with Program Manager and Project/Systems Engineers to assure product meets customer and compliance requirements
Communicate effective with Electrical Engineers to ensure electronic integrates with the mechanical components.
Work with machine shop and procurement directly to timely produce prototype designs • Update legacy designs to the latest CAD revision and maintain proper drawing configuration.
Communicate with manufacturing engineers to assure efficient production of your designs
Lead and train individuals on the assembly steps.
Professional Requirements

Electro-mechanical design experience including sheet metal, gearing, castings and injection molded plastic design. • Finite element analysis, manufacturing and assembly methods for instrument-level design
BSME with at least 2 to 3 years of pertinent experience
Experience with Electronic Packaging and avionics experience highly desirable.
Parametric Technologies (Pro-E and Creo) proficiency is required • Knowledge of materials and finishes is required
Understanding of lighting and optics is helpful
Position reports to the Vice President of Engineering
Performance will be measured by the Quality of your designs and timely completion of tasks as well as manufacturability
 
Job Location: Exton, PA
Rate: $50/PD Split
Per Diem: YES
Overtime: YES
Duration: 6 mos
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GREENLIGHT PROFESSIONAL SERVICES
Attention: Jason
Address: 319 LITTLETON RD STE 308
City, State: WESTFORD, MA 01886
800 Phone: 877/562-4477
Website: www.gpsnational.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Engineer SECRET CLEARED CATIA V (089)

Description:
*This is a full time, 1 year contract, located in Palmdale, CA*

We are hiring for a long term contract, CATIA V design engineer who will be designing wire harnesses for military aircraft. Candidate will be designing installations, integrations, part modifications, and assemblies, to military specifications such as ANSI and/or ASME.



Required:
*12-15 years’ experience in electronics/wire harness design using CATIA V5.
*Experience with ANSI/ASME Y14.100 or similar design/drafting standards
*Per diem split is available for those travelling for this position

*Must have an Active Secret Clearance (reinvestigated in last 5 years)

*Engineering Degree
 
Job Number: 089
Job Location: Palmdale, CA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Testing Engineer

Description:
Job Description:
Support 3rd Shift testing in the Integrated Test Vehicle laboratory. Responsibilities include, but are not limited to, communicating with the test team to configure, monitor and maintain the laboratory test setup (includes complex Hydraulics, Electronics and Mechanical Systems). Opportunity exists for expanded mechanical design work. This is a hands-on role that provides the opportunity to be part of a unique test team performing work class testing on advanced aircraft systems.

Education:
Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification.
 
Job Number: TE2018
Job Location: Seattle, WA
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Shailendra Mishra
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
NC Engineer III

Description:
NC Engineer III

Location: Savannah, GA
Rate: $60.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
- Strong 5-axis programming background in CATIA V5.
- Master Cam knowledge preferred.
- Vericut Knowledge preferred.

Education and Experience Requirements:
- Bachelor's Degree in Mechanical Engineering or a related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
- Four (4) years machining, planning, tool design, or related manufacturing experience to include 2 years of experience as numerical control engineer.

Position Purpose:
Under minimal supervision, perform activities related to complex numerical control (NC) programming, including machining methods, tooling concepts, and programs for NC milling machines and lathes.

Principle Duties and Responsibilities:
Essential Functions:
1. Conduct a thorough analysis of engineering drawings, tool design drawings, and/or electronic models, to determine the optimal machining methods, selection of machine tool, and material cut size.
2. Interact with planners, tool designers, engineering, and customers as required, to finalize plan.
3. Develop cutter motion logic per operation sequence, selecting proper spindle speeds and feed rates.
4. Perform a thorough check on all aspects of the program and verify cutter paths through the utilization of Vericut.
5. Develop operator set-up sheets for numerical control machines.
6. Develop flat pattern mylars and templates as required.
7. Produce 2-D Catia tool drawings as required.
8. May act as Team Leader as required, assigning work tasks, maintaining work load schedules, and setting priorities to ensure timely completion of department goals and corporate objectives.

Additional Functions:
1. Assist in training and guiding lower level engineers as needed.
2. Assist in formulating Numerical Control policies, procedures, and specifications as required. Perform other duties as assigned.

Other Requirements:
1. Excellent verbal and written communication skills required.
2. Strong knowledge of various machining and drafting methods, technical writing, and computer use.
3. Ability to read and interpret complex blueprints and engineering drawings.
4. Must be highly proficient with Catia modeling, drafting, and Catia NC Mill function.
5. Must have demonstrated expertise and a proven track record in support of company policies and rules, and consistently meeting objectives.
 
Job Number: 10533
Job Location: Savannah, GA
Rate: $60.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
NC Programmer III

Description:
Position Purpose:
Under minimal supervision, perform activities related to complex numerical control (NC) programming, including machining methods, tooling concepts, and programs for NC milling machines and lathes.

Education and Experience Requirements:
Bachelor's Degree in Mechanical Engineering or a related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Four (4) years machining, planning, tool design, or related manufacturing experience to include 2 years of experience as numerical control engineer.

Principle Duties and Responsibilities:
• Conduct a thorough analysis of engineering drawings, tool design drawings, and/or electronic models, to determine the optimal machining methods, selection of machine tool, and material cut size.
• Interact with planners, tool designers, engineering, and customers as required, to finalize plan.
• Develop cutter motion logic per operation sequence, selecting proper spindle speeds and feed rates.
• Perform a thorough check on all aspects of the program and verify cutter paths through the utilization of Vericut.
• Develop operator set-up sheets for numerical control machines.
• Develop flat pattern mylars and templates as required.
• Produce 2-D Catia tool drawings as required.
• May act as Team Leader as required, assigning work tasks, maintaining work load schedules, and setting priorities to ensure timely completion of department goals and corporate objectives.
• Assist in training and guiding lower level engineers as needed.
• Assist in formulating Numerical Control policies, procedures, and specifications as required.
• Perform other duties as assigned.

Other Requirements:
• Strong knowledge of various machining and drafting methods, technical writing, and computer use.
• Ability to read and interpret complex blueprints and engineering drawings.
• Must be highly proficient with Catia modeling, drafting, and Catia NC Mill function.
• Must have demonstrated expertise and a proven track record in support of company policies and rules, and consistently meeting objectives.
 
Job Number: 24-316
Job Location: Tulsa, OK
Rate: $62.50
Per Diem: YES
Overtime: YES
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Brandon
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Numerical Control Engineer II

Description:
Under general supervision, perform activities related to numerical control (NC) programming, including machining methods, tooling concepts, and programs for NC milling machines and lathes.

Principle Duties and Responsibilities:
• Conduct a thorough analysis of engineering drawings, tool design drawings, and/or electronic models, to determine the optimal machining methods, selection of machine tool, and material cut size.
• Interact with planners, tool designers, engineering, and customers as required, to finalize plan.
• Develop cutter motion logic per operation sequence, selecting proper spindle speeds and feed rates.
• Perform a thorough check on all aspects of the program and verify cutter paths through the utilization of Vericut.
• Develop operator set-up sheets for numerical control machines.
• Develop flat pattern mylars and templates as required.
• Produce 2-D Catia tool drawings as required.
• May represent department in contacts with other departments, and may be required to coordinate efforts with vendors or customers.
• Assist in formulating Numerical Control policies, procedures, and specifications as required.

Requirements:
• Good verbal and written communication skills required.
• Must be able to read and interpret blueprints.
• Familiarity with various machining methods, technical writing, and computer use.
• Must possess good drafting, math, and geometry skills.
• Must be proficient with Catia modeling, drafting, and Catia NC Mill function.

Education and Experience Requirements:
Bachelor's Degree in Mechanical Engineering or a related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Eighteen (18) months of machining, planning, tool design, or related manufacturing experience.
 
Job Number: 24-307
Job Location: Tulsa, OK
Rate: $52.50/hr
Per Diem: Yes
Overtime: Yes
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
PWB (Printed Wiring Board) Design Engineer III

Description:
Location: Canoga Park, CA
Rate: $40.00 - $50.00/HR
Duration: 6 month contract

** Candidates must be U.S. Citizens **
** Permanent residents are NOT eligible for this role **
** Dual citizens are NOT eligible for this role **

Duties:
- The primary duty is to generate PWB on AR-provided Altium PWB Design and/or Mentor Graphic Board Station Tools for applications on the RS-25, Next Step, AEPS programs.
- This assignment will require an experienced individual that can work closely with electrical/electronic circuit designers and electro-mechanical designers in a team environment.

Skills:
- Extensive (10+ years) experience in the design, development and generation of PWB drawings.
- Experience in the use of S/W tools associated with PWB design is required and the ability to operate the Altium PWB is mandatory.
- Experience in MENTOR Board Station Design tool is also desirable.

Education:
- BSEE with 5 years of experience or 10+ years of direct industry experience in design and development of Printed Wiring Boards and Circuit Card Assemblies.
 
Job Number: 10281
Job Location: Canoga Park, CA
Rate: $40.00 - $50.00/HR
Per Diem: Split Possible
Duration: 6 mos
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Engineer

Description:
We are looking for an experienced Supplier Quality Engineer with expertise in the Electrical commodities to implement tools and processes to insure suppliers starting new work are prepared and have robust plans to deliver first time quality to our client's program customers.
This position will investigate, evaluate, and resolve supplier quality problems by conducting audits, analyzing defect trends, and leading continuous improvement projects. The Supplier Quality Engineer will conduct capability assessments that verify supplier qualifications, travel to assigned suppliers, and lead multiple projects to completion. You must be a self-starting problem solver who will utilize your analytical skills to find innovative solutions to quality-related issues. Strong communication skills are required to insure suppliers understand requirements and expectations, make progress reports and present findings.
You will be reporting to the Supplier Quality Director, Northeast Region. Expected travel is approximately 50%. This will be mostly local day trips with some overnight travel in the region.
Additionally, you will:
• Utilize supplier Scorecards/metrics and analytical tools to quantify performance levels of individual suppliers and work with those suppliers to develop improvement plans to achieve 100% quality performance
• Evaluate the impact of nonconforming product and assess suppliers corrective actions
• Champion problem solving and root cause analysis activities with suppliers to eliminate recurrence of non-conformances
• Perform Source Inspection of product at supplier locations
• Perform and Evaluate First Article Inspections per the requirements of AS9102 as well as train suppliers to meet our client's expectations
• Drive Zero Defect mentality within the supply base
REQUIRED SKILLS:
* Strong verbal and written communication skills
* Ability to comfortably learn and adapt to new (company) software tools and databases necessary for the job; such as Autotime, TipQA, PDM, Excel, etc.
* Ability to identify trends from complex data streams
PREFERRED SKILLS:
* Lean Six Sigma Green / Black Belt
* Eligibility for DOD Secret security clearance

REQUIRED EXPERIENCE:
* 5+ years of relevant work experience in Supplier Quality Engineering
* Working knowledge of AS9100 Quality System and AS9102B First Article Requirements
* Working knowledge of PWB/PCB/CCA inspection/test, equipment and instrumentation
* Experience in Special Process application and validation (i.e. plating, paint, welding, heat treat)
* Working knowledge and experience with Environmental Stress Screening (ESS)
* Working knowledge of supply chain logistics from raw material to finished goods including experience with Enterprise Resource Planning (ERP) system/Quality Management Software system

PREFERRED EXPERIENCE:
* Experience in Avionics or Defense Electronics Prime Contract environment
* Experience in a high volume manufacturing environment
* Strong understanding of 8D problem solving methodology
* Ability to generate cost reductions
* Experience executing or coaching suppliers on AS9102 FAIs and PPVs
* Working knowledge of APQP and PPAP techniques
* IPC-A-600 or IPC-A-610 certification
REQUIRED
EDUCATION:Bachelors Degree in Engineering or Technical field
 
Job Number: 47976
Job Location: Nashua, NH
Duration: 6 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Rick Nussbaum
Address: 7310 RITCHIE HWY STE 400
City, State: GLEN BURNIE, MD 21061-3092
800 Phone: 866/277-3343
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Engineer

Description:
Nesco Resource is currently recruiting for a Quality Engineer consultant to work for our electronics manufacturer client located in Bridgeport, CT. In the role of consultant / contractor you will lead the company in developing and implementing ISO9001 standards for their air flow products used throughout the automotive, aviation, defense and medical industries. This includes production operations, supervising production staff, organizing jobs and monitoring work flow. Provide worker coaching, counseling and serve as a link between manufacturing and other departments. Resolve issues impeding production flow, personnel, technical, supply and quality.

Required Experience:


  • 5 years minimum of manufacturing industry experience leading ISO9001

  • Exceptional mechanical aptitude (i.e. intuitive understanding of mechanical processes)

  • Proven track record of driving continuous quality improvement in a manufacturing environment.

  • Previous work experience in an organization that supplied large OEM's.

  • Customer quality assurance experience.




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.


 
Job Number: 19-08632
Job Location: Bridgeport, CT
Rate: 40.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2019-08-31 00:00:00.0
Start Date: 2019-03-18 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Roxanne Jackson
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Manufacturing Engineer AS9100 (62)

Description:
*This is full time, 3-6 month contract to HIRE position located in Peoria, IL*



We are seeking a quality engineer /auditor who will be in charge of the company quality program and ensure the plant is in compliance with AS9100 standards.



Daily work will consist of monitoring production processes for compliance with quality standards, conducting internal audits (GLP, GMP, ISO, and Six Sigma), creating an audit plans/schedules, identify opportunities for improvement, creating audit reports, develop formal written reports for management and regulatory compliance agencies.



On occasion, candidate may develop internal auditing/testing parameters, conduct investigation of stored data in electronic systems (Oracle, TipQA, PLM, etc.)



Required:
*5-7 years’ experience in a manufacturing quality role that includes conducting quality audits, trend analysis and reporting results for ISO or AS9100 audits.
*Experience creating, documenting and improving new and or existing quality, reliability and safety standards/procedures.
*Background in implementing and maintaining a quality system.
*Familiar with auditing tools and systems (Control charts, Ishikawa diagrams)
*2 or 4 year degree, preferably in an engineering discipline



Plus:
*Quality auditing certification (ASQ CQA) for AS9100 or ISO 9001.
*Experience building Quality Management Systems (QMS)
*7-10 years’ experience
 
Job Number: 062
Job Location: Peoria, IL
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Reliability Engineer FRACAS, FEMCA, HALT (327)

Description:
*This is a full time, one year or longer, contract position located in Orlando*



We are seeking a lead Reliability Engineer who will be working on our DoD/military Electronic Warfare / missile systems. The candidate will be creating reliability predictions for mechanical and electrical design as well as Failure Mode and Effects, and Criticality Analysis (FMECA), Build in test (BIT), working with reliability program growth plans, conducting reliability growth analysis, Highly Accelerated Life Testing (HALT), Corrective Action Boards (CAB) and Failure Review Boards (FRB).



Daily duties may include supporting design reviews and test activities, working with Corrective Action Boards (CAB) and Failure Review Boards (FRB), identify Failure Review and Corrective Action System (FRACAS) trends to identify and prioritize failure modes to investigate for corrective actions, review Engineering Change Proposals (ECP), Aging and Reliability Qualification Test (RQT), support Concept Screening Board (CSB) and Configuration Control Board (CCB). Will be developing reliability predictions using Relex (Windchill). There will be a good portion of the time spent on providing inputs to engineers, performing analyses, and writing reports



Required

*5 years’ in Reliability Engineering; particularly performing reliability predictions, root cause analysis, corrective action boards (CRBs) and Failure Review Boards (FRBs).

*Experience using FRACAS and FMECA analysis as well as Data Mining.

*Experience in Human Factors, and/or Testability/Built-in Test (BIT); reliability predictions.

*Experience with Windchill (Relex) Quality Solutions Reliability software tool or equivalent.

*Ability to manage subcontractors in Logistics field of expertise.

*Able to give presentations in front of groups.

*Ability to obtain apply and obtain a clearance upon starting; Clearance status must be noted on top of resume.

*4 year degree



Pluses

*Active Secret Clearance

*Experience Highly Accelerated Life Testing (HALT)

*Experience with Reliability Centered Maintenance (RCM).

*Experience in Human Factors, and/or safety engineering

*Good mechanical skills

*Electronic warfare/missile system experience
 
Job Number: 327
Job Location: Orlando, FL
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Location: Windsor Locks, CT
Rate: $68.00/HR
Duration: 1 year contract
Per Diem Split: No

****MUST be a U.S. Citizen****
****MUST have a Bachelor's Degree****

Job Description:
- Manages high complexity projects in multidisciplinary research and collaboration with equipment designers and/or hardware engineers in the design, development, and utilization of electronic data processing systems software, and performs software modeling and verification.


Education:
- Bachelor's Degree required.
- 10+ years of software engineering experience required.
 
Job Number: 10348
Job Location: Windsor Locks, CT
Rate: $68.00/HR
Per Diem: No
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Position Overview:

Designs, develops operates and maintains software components and computing systems software to be applied to and integrated with engineering, scientific and manufacturing requirements.



Applies the appropriate standards, processes, procedures and tools throughout the system development life cycle to support the generation of engineering applications and products.



Developments real time embedded systems involving SW for digital signal processing, electronic warfare, and control and display components. Interfaces with customers, suppliers, application users and other technical and support personnel.



A Bachelor’s degree in Computer Science, Electrical Engineering, or related engineering field.   (MUST BE ABLE TO OBTAIN A SECURITY CLEARANCE)


  • 9+ Years of Embedded Software Engineering experience.

  • Real Time Operating Systems Experience.

  • Must have experience with C++ programming languages:(Either applicable. No preference) :

  • C++

  • EW Background • Capable of working on both high and low level software • VxWorks or similar embedded OS experience • UML design experience




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.
 
Job Number: 18-49460
Job Location: Clifton, NJ
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2019-06-21 00:00:00.0
Start Date: 2018-12-17 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Brian Carrillo
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Test Engineer

Description:
Must Be Eligible for a Clearance

Software Test Engineer skilled in 'C' using NI LabWindows/CVI, LabView and Test Stand, and interfacing with test equipment. Assignment will include the design, development, integration, testing, and fielding of software for a test set. Must have an understanding of electronics testing methods. Requires knowledge of industry wide tests and testing equipment. Works under little to no supervision. Produces test engineering documentation, reports, drawings (flow charts, block diagrams, and schematics). Creates and performs integration and test plans for Test Equipment validation/verification.
 
Job Number: 46068
Job Location: TX
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr QA Engineer CA

Description:
164359

5893 Sr QA Engineer
Number of openings: 1
Position location: Chatsworth - CA
Employment type: Contract
OT exempt: No

Shift: 1st
Education Requirement: BS/BA
Driving on company time?: No
Minimum Years of Experience: 6
Maximum Hourly Pay Rate: 55
Start Date: 03/11/2019
Tentative End Date: 09/11/2019

**Please read****In-Person interviews will be required for this position. Preference will be local candidates (30 minute commute time from Chatsworth, CA*********

Job Duties:
Reports to the Supervisor of Quality Engineering and is self-motivated to follow through on assignments with limited supervision. Responsible for planning, implementation, and administration of complex Quality Programs to assure that a system is in place to meet or exceed contractual quality requirements.

ob Duties: Reports to the Supervisor of Quality Engineering and is self-motivated to follow through on assignments with limited supervision. Responsible for planning, implementation, and administration of complex Quality Programs to assure that a system is in place to meet or exceed contractual quality requirements.

Provides for program compliance with internal and external requirements within:
1. Project Engineering:
Provides varied technical support including engineering concepts, statistical methods, and Quality Technology. Provides personal contact with internal and external personnel from technical disciplines.
2. Inspection Planning:
Provides inspection plans for receiving inspection, manufacturing operations, assembly & test processes, and final inspection.
3. Quality Planning: Provides planning, development, implementation, and administration of cost effective and complex Quality Programs.
4. Contract Compliance: Assures compliance with contractual issues for complex programs relative to quality, including coordination of customer, government, regulatory agency audits, and flow-down of contract requirements to suppliers and appropriate Moog disciplines.
5. Program Support: Provides technical assistance to internal and external technical disciplines in the resolution of fabrication, and field related problems.
6. Failure Analysis & Corrective Action: Conducts complex Failure analysis by utilizing his organizational, technical knowledge, analytical and communication skills to find the root cause and implement closed loop corrective solving.
Education Requirements: BSME and six years’ experience in Quality Engineering, preferably at Moog. Must have mastery of military specifications most frequently encountered in quality programs and should have a specialized technical knowledge of Moog products as well as manufacturing, assembly, and functional test methods. The incumbent must be a proficient user of various statistical, spreadsheet, word processing, and database software packages.

Preferred:
GMIPs support; Fluency with Tip Technologies’ TipQA application or equivalent; Quality Audit; Electronics and Electromechanical design for spaceflight; GIDEP assessment and response; Supplier Quality Management.

Education Requirements:
BSME and six years’ experience in Quality Engineering. Must have mastery of military specifications most frequently encountered in quality programs and should have a specialized technical knowledge of Moog products as well as manufacturing, assembly, and functional test methods. The incumbent must be a proficient user of various statistical, spreadsheet, word processing, and database software packages.
 
Job Number: MB-5893
Job Location: Chatsworth, CA
Rate: 55/hr max
Per Diem: no
Duration: 6 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr. Hardware RF Engineer--Direct hire--Yorba Linda, CA (VHDL, Verilog, FPGA, CPLD, CCA)

Description:
Recruiter to Contact: Adam Salazar
Job Title: Sr. Hardware RF Engineer
Location: Yorba Linda, CA
Compensation: DOE
Assignment Length: Direct Hire job

A Senior Hardware Engineer is a senior professional with an advanced degree and significant experience with electronics design. In this role, the individual will work directly with engineering staff to design, develop, and validate various special purpose communication systems supporting the U.S. military. This individual will perform detailed electronic circuit design/analysis, work closely with project leads to coordinate prototyping activities, and be responsible for the assigned CCA and system design from concept through pre-production phase. The individual must have a good working and analytical knowledge of digital, analog, microprocessor system design using CAD design tools. This individual may be responsible for forming project teams when projects become large or are beyond their skill set, experience, or abilities.
Job Description:
Essential Duties and Responsibilities:
• Design and validate RF electronic circuits (including: RF amplifier, communications modulation/demodulation, signal conditioning, and antennas).
• Design and validate mixed-signal electronic circuits (including: VHDL, Verilog, FPGA/CPLD, high-speed digital communications, microprocessor embedded systems, signal conditioning, power supplies, A/D, D/A circuitry).
• Perform detailed Circuit Card Assemblies and sub-system design, analysis, verification, simulation, and related work as required.
• Work with complicated electronic system concepts and detailed circuit design to properly determine the necessary design tradeoffs.
• Participate in Circuit Card Assembly, system test planning, and system test procedure generation for first articles.
• Provide support to the design, development, prototype fabrication, and design verification testing of electronic circuits.
• Participate in all phases of design activities.
• Understand how to implement low-cost and robust Circuit Card Assembly designs to meet all technical requirements.
• Support technical proposal generation.
• Generate all necessary design documentation in a timely fashion.
• Oversee all activities for all sustaining and technical support projects.
• Work closely and share innovative ideas with engineering, sales, and manufacturing.
Required Qualifications:
• B.S. in Engineering or Physics (or equivalent) with a minimum 10 years’ experience in hands-on design of electronic modules and systems. (M.S. with 7+ years preferred).
• In-depth experience in digital and analog Circuit Card Assembly layout and construction techniques.
• Experience with design and implementation of RF transceiver subsystems.
• Experience with design and implementation of microprocessor or DSP embedded computing systems.
• Experience with interfacing A/Ds and D/As to embedded computing systems.
• Demonstrated ability to solve practical problems and with a variety of variables in situations where only limited standardization exists.
• Demonstrated top-down design capabilities.
• Demonstrated ability to work within or lead a small technical development team.
• The ability to work with and without direct supervision.
• The ability to interpret a variety of instructions furnished in written, oral, diagrammatic, etc. forms, and move projects to completion.
• Understanding of electronics industry project lines and industry roadmaps.
• Computer literate.
• Excellent communication and interpersonal skills.
Desired Qualifications
• Experience with choosing, designing in, and programming FPGA and CPLDs
• Experience with digital design implemented in VHDL.
• Experience with design and implementation of state machines.
• Experience with digital busses (VME, PCI, etc.) and architecture concepts.
• Experience with NTDS (MIL-STD-1397), ATDS (MIL-STD-188-203-1), and 1553 (MIL-STD-1553) communication protocols.
• Experience with power supply design and development.
• Experience with analog circuit design and development.
• Military background is a plus.
Language Skills:
The individual must have the ability to read, write, and understand spoken English; the ability to read and understand product requirements and performance specifications; and the ability to read and understand schematics, assembly drawings, parts lists, test procedures, engineering drawings, technical procedures, and governmental regulations. The individual must have strong communication skills and the ability to interface directly with customers.
Other Qualifications:
The individual may be required to obtain a U.S. Government Security Clearance.
Physical Demands:
The physical demands described here are representative of those that must be met by an employee to successfully perform the essential functions of this job. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions. While performing the duties of this job, the employee is occasionally required to stand, walk, and sit. The employee must occasionally lift and/or move up to 50 pounds. Specific vision abilities required by this job include color vision and the ability to adjust focus.
Work Environment:
The work environment characteristics described here are representative of those an employee encounters while performing the essential functions of this job. Reasonable accommodations may be made to enable individuals to perform the essential functions. The noise level in the work environment is generally quiet but may be moderate when various test fixtures are operating.
 
Job Number: YLAS
Job Location: Yorba Linda, CA
Rate: Open, DOE
Per Diem: N/A
Duration: Direct Hire
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Adam
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Staff Electrical Engineer

Description:
Staff Electronics Engineer ( Butler Aerospace Project)
Location: Cary, NC
12+ months (SOW)

Job Profile Summary

This person defines, plans, designs, and tests aerospace electrical systems requiring standard methods of electrical engineering.
The required work includes the development of new systems using electronics in an aerospace environment. Examples include active vibration control, motor control, power electronics, sensor interfaces, embedded micro-controllers, communication buses, electromagnetic filtering, and lightning protection.
Job Responsibilities

Required

Design circuit card assemblies, using schematic capture tools
Perform analysis of electrical circuits using electronics simulation tools
Oversee component layout using outside vendors
Perform design evaluation tests on circuit card assemblies
Diagnose electrical problems with airborne electronic systems and subsystems
Plan, Perform, and document risk reduction and qualification tests including EMI testing
Oversee circuit board assemblies using contract manufacturing
Plan, coordinate, and complete large or novel projects which may involve the supervision of a few engineers or technicians; some difficult coordination may be required.
Establish and maintain communications throughout the organization to assure efficient work flow and foster or encourage a mutual exchange of new ideas, concepts, or technologies with other company personnel. In addition, cultivate working relationships with outside sources, through presentation of papers or participation in seminars, lectures, etc. to broaden personal and company knowledge and technology base as well as enhance corporate image as a technological leader.
Control assigned resources (project spending, technician time) within guidelines or plans in achieving objectives.
Support internal and external (customer) programs through laboratory demonstrations of development and production hardware, oral presentations, report and proposal writing, etc.
Demonstrate professional growth through additional informal study, participation in seminars, short courses, and technical society activities, and perusal of technical and trade literature pertinent to the incumbent’s specialty.
Oversees use of laboratory and laboratory equipment, coordinates use of equipment for test campaigns, and orders appropriate laboratory equipment.
Assist in initiating new programs that enter the development phase or receive customer production commitment.
Some travel required.


Preferred

Knowledge of project management techniques.
Design for X ( Cost, Manufacturing, Reliability , etc.)
Proposal writing Skills
System Architecture Design
Work Experience

Required

10 years’ experience
MS in Electrical Engineering plus 8 years’ experience
Education

Required

BS in Electrical Engineering
Preferred

MS in Electrical Engineering
Languages
Required
Speak English fluently. Good oral and written communication skills.
Preferred
Speak French fluently.

TRAVEL:
Some travel to customer location may be required.
US Citizen or Green Card Holder only.
Min years of experience: 10 years
 
Job Number: 56257
Job Location: Cary, NC
Rate: Open
Per Diem: Possible
Overtime: Yes
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Suzanne Schmidt
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Staff Electrical Engineer

Description:
Location: Phoenix, AZ
Rate: $58.00/HR
Duration: 1 year contract
Per Diem Split: No

****MUST be a U.S. Citizen or Permanent Resident****
****MUST have a Bachelors Degree****

Job Description:
- The Staff Electrical Engineer will work with a global team of engineers in Phoenix and India, on electronic control units for aerospace applications.
- The role will support the entire design life cycle from project planning efforts to entry into service and production transition support.
- Requirements definition using DOORS, detailed design, validation of requirements and verification of implementation.
- Also includes managing Problem reports for hardware that has already been delivered.
- The successful candidate will be a highly motivated self-starter with strong written and oral communication skills and works well in a team environment.
- As a Staff Electrical Engineer, this role will lead and mentor other electrical engineers throughout the entire design life cycle and support project planning efforts and ensure execution to schedule and budget.

Primary Responsibilities:
- Primary ownership of systems development processes.
- Support the development and review of systems/hardware requirements decomposed from customer specifications.
- Coordinate with systems and hardware teams to understand the cross functional requirements and constraints.
- Assess design maturity and reviewing technical risk.
- Participate in component design reviews.
- Utilize appropriate Lean Product Development tools to support cost-effective technical solutions with heavy focus on platform design, reuse, and knowledge-management
- Support project planning efforts and ensure execution to schedule and budget.
- Design responsibilities include architecture, development and analysis of RF, wireless and high speed communication links.
- Create documentation including hardware design plans, technical requirements, functional specifications, design specifications, qualification test plans and reports.
- Evaluate and approve electronic components to meet requirements for availability, performance and cost.
- Support project planning efforts and ensure execution to schedule and budget.
- Interface and support validation, verification and manufacturing engineers and technicians with product and manufacturing testing.
- Apply knowledge of DOE, FMEA, tolerance analysis, simulation and statistical methods to improve robustness and quality of electronic designs.

Qualifications:
- Bachelor's degree required.
- Proficient with analog, digital circuit design, RF and wireless systems, building, and testing circuits in a lab environment
- Good computer skills with ability to learn new programs/software
- Ability to work under pressure and within time constraints
- Excellent organizational skills with ability to multi-task amidst rapid change
- Hardware Verification experience including, test procedure development, robustness testing and debug
- Experience developing hardware/software integration plans
- Experience creating LRU level (black box) requirements based test cases and procedures, and executing systems verification testing consistent with DO-254 guidelines
- Excellent written and verbal skills
- Good computer skills with ability to learn new programs/software
- Ability to work under pressure and within time constraints
- Excellent organizational skills with ability to multi-task amidst rapid change
- Systems Verification experience including, test procedure development, robustness testing and debug
- Experience with configuration management and problem reporting tools

Preferred Qualifications:
- Experience working within DO-254 certification program(s), including Stages of Involvement (SOI) Audits under EASA or FAA
- Experience using Mentor Graphics DxDesigner and Expedition’
- Masters’ degree in Electrical Engineering.
- Experience with high reliability controller electronics and power switching circuitry.
- Familiarity with software developed in accordance with the requirements of DO-178B or DO-178C.
 
Job Number: 10408
Job Location: Phoenix, AZ
Rate: $58.00/HR
Per Diem: No
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Staff Electrical Engineer (Aerospace Electronic Control Units)

Description:
The Staff Electrical Engineer will work with a global team of engineers in Phoenix and India, on electronic control units for Aerospace applications. The role will support the entire design life cycle from project planning efforts to entry into service and production transition support. Requirements definition using DOORS, detailed design, validation of requirements and verification of implementation. Also includes managing Problem reports for hardware that has already been delivered.

The successful candidate will be a highly motivated self-starter with strong written and oral communication skills and works well in a team environment. As a Staff Electrical Engineer, this role will lead and mentor other electrical engineers throughout the entire design life cycle and support project planning efforts and ensure execution to schedule and budget.

Primary Responsibilities
• Primary ownership of Systems Development Processes.
• Support the development and review of Systems / Hardware requirements decomposed from customer specifications.
• Coordinate with Systems and Hardware teams to understand the cross functional requirements and constraints.
• Assess design maturity and review technical risk.
• Participate in Component Design reviews.
• Utilize appropriate Lean Product Development tools to support cost-effective technical solutions with heavy focus on platform design, reuse, and knowledge-management
• Support project planning efforts and ensure execution to schedule and budget.
• Design responsibilities include architecture, development and analysis of RF, wireless and high-speed communication links.
• Create documentation including hardware design plans, technical requirements, functional specifications, design specifications, qualification test plans and reports.
• Evaluate and approve electronic components to meet requirements for availability, performance and cost.
• Support project planning efforts and ensure execution to schedule and budget.
• Interface and support validation, verification and manufacturing engineers and technicians with product and manufacturing testing.
• Apply knowledge of DOE, FMEA, tolerance analysis, simulation and statistical methods to improve robustness and quality of electronic designs.
• Proficient with analog, digital circuit design, RF and wireless systems, building, and testing circuits in a lab environment
• Good computer skills with ability to learn new programs/software
• Ability to work under pressure and within time constraints
• Excellent organizational skills with ability to multi-task amidst rapid change
• Hardware Verification experience including, test procedure development, robustness testing and debug
• Systems Verification experience including, test procedure development, robustness testing and debug
• Experience with configuration management and problem reporting tools
• Experience developing hardware/software integration plans
• Experience creating LRU level (black box) requirements-based test cases and procedures, and executing systems verification testing consistent with DO-254 guidelines
• Excellent written and verbal skills

Preferred Qualifications
• Experience working within DO-254 certification program(s), including Stages of Involvement (SOI) Audits under EASA or FAA
• Experience using Mentor Graphics DxDesigner and Expedition
• Masters’ degree in Electrical Engineering
• Experience with high reliability controller electronics and power switching circuitry
• Familiarity with software developed in accordance with the requirements of DO-178B or DO-178C.
 
Job Number: 1910097977
Job Location: Phoenix, AZ
Duration: 1 year
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Stephanie Spolzino
Address: 50 FOUNDERS PLAZA STE 304
City, State: EAST HARTFORD, CT 06108
Phone: 860/289-2643
800 Phone: 866/636-4723
Fax Phone: 800/556-5284
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer

Description:
ability to obtain a clearance

Related experience working with electrical circuits and/or mechanical design and reading of schematic diagrams/drawings on military platforms.
Must have the ability to troubleshoot electrical/electronic circuits and perform failure analysis as needed.
Must have the ability to design mechanical fixtures and testing apparatuses.
Systems Engineering/ Integrated Product Development process knowledge preferred.
Familiar with military standard specifications: MIL-STD-810, MIL-HDBK-310, MIL-STD-961.
Good knowledge of various test equipment and test measurement methods and environmental exposure methods.
Understanding of digital electronics, automatic test equipment and software.
 
Job Number: 45917
Job Location: MI
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Technical Writer W/MIL STD 40051-1 (121)

Description:
***Requires Active Secret Clearance to apply***

*This is a full time, one-year contract position located in Grande Prairie, TX*



We are seeking a hands on Technical Writer who will be responsible for gathering, writing, and organizing electrical/electronic technical materials for purposes of authoring electronic technical part descriptions, manuals/documentation, creating illustrations, etc.



Applicant will be expected to convert technical jargon so that any user can follow.



Contractor will be creating Electronic Technical Manuals (ETMs and IETMs), depot maintenance work requirements (DMWRs), engineering-level documents, end user Quick Reference Guides, initial creation of and adding new illustrations. The responsibilities will include ability to author original technical material from review of engineering drawings and discussions with subject matter experts.



Required:
*6 years' experience with hands on MIL Spec technical writing for electronic system manuals.
*Experience working with MIL-STD-40051-1
*Strong knowledge of XML Authoring Tool Experience (Arbortext, XMLSpy software)
*Understanding of electrical systems knowledge of diagnostic troubleshooting in order to identify faulty procedures and suggest corrections using schematics.
*End user/customer interfacing experience/good customer service skills
*Able to read technical drawings and blueprints.

*Overtime is available

*Per diem split is available for out of town contractors



Pluses:
*Experience with some combination of the following Arbortext, UltraEdit, Acrobat Pro, XSLT, IsoDraw
*MIL-STD-2361C knowledge
*4 year degree
*Experience with Launch Rocket Systems or Artillery Rocket Systems
*Knowledge of Logistics Management Information Systems, compliant with GEIA-STD-0007
 
Job Number: 121
Job Location: Grand Prairie, TX
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Engineer

Description:
Our client is looking for a few level 3 Test Engineers to work in Orlando, Florida! Apply today with your most recent resume and if you meet the qualifications a recruiter will be in contact with you!

The ideal candidate for this position will perform the following tasks:
* Capable of interfacing with external suppliers and internal program customers, including manufacturing sites in Ocala, FL and Troy, AL.
* Interface with hardware suppliers.
* Support the development of SOWs and monitor subcontracts management to ensure that supplier related acquisitions are progressing on schedule and within budget.
* Responsible to understand complex electronic devices and systems to establish hardware and software tests, design/document automated test equipment (ATE) interfaces, design/document/test ATE control software, integrate ATE software and hardware, and demonstrate ATE products to both internal and external customers.

Mandatory Qualifications and Experience:
* Experience in Test Engineering, System Engineering, and/or RF Engineering, Bachelor of Science in Engineering or Related Field.
* Must have clearance must show either under re-investigation or investigation date. Date cannot be more than 10 years old.
* Five years of experience designing test equipment and modifying test software using NI LabVIEW/TestStand CVI or C+ from Test Requirements for electro-optical and electro-mechanical systems for acceptance testing by test operators.
* Experience working in a lab and factory environment.
* Ability to read specifications and/or statements of work and design test systems
* Ability to design in collaboration with internal and external manufacturing and support functions.
* Travel between Ocala, FL and Troy, AL.

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129842
Job Location: Orlando, FL
Duration: 1 year
Start Date: 03/25/2019
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Engineer CA

Description:
163973 Test Engineer

Work Site Address: Torrance, CA 90501
Job Number: 5833
Max Pay Rate: 45.00

Start Date: ASAP
End Date: 6 Months with possibility of CTH
Position Type: Contract

The Test Engineer/Conductor is responsible for performing the tests of assigned programs as well as engineering solutions to testing issues. As a Test Conductor, the incumbent will set up and perform tests, measure and record test data, and review, and analyze test results. As a Test Engineer, the incumbent will support the Senior Test Engineer in troubleshooting and resolving testing problems.

The Test Engineer/Conductor will be responsible for performing and overseeing electrical, mechanical, functional tests. This will involve manual set up of test, actual performance and monitoring of test, manual and automated measuring and recording of test data, and computer assisted analysis of the test results. Therefore the incumbent should be comfortable with hands-on type of work, have high attention to detail, and be proficient with the computer and MS Office tools. The incumbent will learn to operate motors and motor drivers, use various electronic measurement devices, and generate a variety of mechanical test setups from written procedures.

The Test Engineer/Conductor will also be responsible in supporting various engineering tasks. This will involve researching and defining test parameters and methods and preparing and utilizing various post processing scripts for data analysis. It will also involve reviewing and verifying test results, troubleshooting and fact-finding test discrepancies, updating or modifying work instructions, and maintaining test schedule. Therefore the incumbent should have excellent communication, organizational skills, and good analytical skills. The incumbent should also be a quick learner, self-motivated, work well in a team environment, multi-task, and take ownership of a task with minimal supervision.

Roles and Responsibilities
In all phases of the job, the Test Engineer/Conductor's tasks are governed by technical, cost, and schedule requirements and the incumbent must be able to work under pressure with minimum error and maximum efficiency. In rare cases, the incumbent must be flexible enough to perform some tasks out of the scope of this position in order to fulfill certain test requirements, under the direction of the Senior Test Engineer.

1. Setting up and performing tests; measuring, recording, and analyzing test data with minimum error and maximum efficiency.
2. Troubleshooting to resolve test discrepancies.

Qualifications and Education Requirements
1. B.S. in engineering or related field.
2. Knowledge of MS Office tools
3. Technical writing and communication skills.
4. Good interpersonal relationship skills (communication, attitude, teamwork, flexible) a must.
5. Knowledge and/or experience with strength testing and report writing.
 
Job Number: MB-5833
Job Location: Torrance, CA
Rate: 45/hr max
Per Diem: no
Duration: 6 months CTH possible
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Equipment Design Engineer

Description:
Location: Canoga Park, CA
Rate: $60.00 - $70.00/HR
Duration: 1 year contract
Per Diem Split: Yes (if eligible)

** Candidates must be U.S. Citizens **
** Permanent residents are NOT eligible for this role **
** Dual citizens are NOT eligible for this role. Also, candidates with a current non-U.S. passport in addition to a U.S. passport are NOT acceptable **

Duties:
- Our client is seeking an experienced Test Equipment Design Engineer to join our Avionics Engineering Test Equipment Design organization. This position will be located at our facility in Canoga Park, California (Los Angeles area) and will report to the Avionics Special Test Equipment (STE) Design Process Manager.
- We are seeking a Test Equipment Design Engineer whose area of expertise is electronics and automated test system design. Our automated test systems include those designed for testing power and digital electronics for space applications. Units requiring automated test range from single-board computers, data acquisition boards, valve actuators to combustion and electric propulsion rocket engine controllers used in space-based applications. Automated test systems perform acceptance testing at the PWA (board) and unit (box) level.
- In this role, you will initially support the Advance Electric Propulsion System (AEPS program. You will perform an array of technical and project engineering related duties in support of a variety of Avionics STE design projects.
- The person in this position will be responsible for hands-on test and test system design, as well as providing project management and leadership for less senior personnel.

Skills:
Working under limited general direction, responsibilities include, but are not limited to:
70% - Hands-on design and design leadership of automated test system development projects (includes hardware, software and mechanical design). Included is the development of test system requirements and verification methodologies, resolution of anomalies occurring during integration and test of a test system as well as during subsequent delivery and test system usage.
15% - Leading design teams of 2 or more people on automated test system development activities with cost and schedule accountability.
5% - Supporting test system proposals and bids.
10% - Travel to other Aerojet Rocketdyne, customer and supplier sites for design reviews and technical interface meetings.

Additional Qualifications:
- Experience with scripting language such as C, C++ and/or proficient in the use of the National Instruments (NI) development suite (LabWindows/CVI, LabVIEW and TestStand).
- Hands on experience with Test Instrumentation (i.e. Oscilloscopes, Multiplexers, Logic Analyzers, Data Acquisition systems, etc.).
- Proficient in the design of test consoles, test adapters, and/or test cables.
- Proficient in the integration of test hardware and test software.
- Proficient in the development of test equipment drawings.
- Proficient in the development of test system requirements and verification methodologies.
- Proficient in the development of test procedures.
- Proficient in the troubleshooting of equipment, circuit boards, cables, etc.
- Proficient in the resolution of anomalies occurring during integration and test of a test system, and also during subsequent delivery and test system usage.
- Experience in prioritizing and completing several parallel efforts.
- Demonstrated ability to work independently and drive toward goals.
- Self-motivated - willing to engage customers, partners, and suppliers to achieve results.
- Possess process discipline, order, and attention to detail.
- Must have excellent oral and written communication skills and be able to coordinate and collaborate with local/on-site teams, as well as teams at other sites

Education:
Degree and typical experience in engineering classification: Bachelor's of Science degree from an accredited course of study, in engineering, computer science and 5 or more years' experience.

US Citizenship required. Must be able to obtain and maintain a U.S. Security Clearance at the appropriate level (U.S. Citizenship required). Must also be able to satisfy federal government requirements for access to government information, and having dual citizenship may preclude you from being able to meet this requirement.

Work Environment and Physical Requirements:
Employees in these positions must possess mobility to work in a standard office setting and to use standard office equipment, including a computer; stamina to sit or stand and maintain attention to detail despite interruptions; may occasionally lift/carry/push/pull up to 25 pounds; may require occasional walking, climbing, stooping, crouching, and/or bending; and vision to read printed materials and a computer screen, and hearing and speech to communicate in person and over the telephone. May require the ability to travel by air or auto. May require the use of personal protective equipment such as safety glasses, safety shoes, and shop coat. These positions may be expected to work varying shifts and hours to ensure successful operation of activities in the organization.
 
Job Number: 10570
Job Location: Canoga Park, CA
Rate: $60.00 - $70.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
VHDL, IC Firmware Embedded Code Design Engineer (96)

Description:
*This is a full time, 9 month contract position located in Owego, NY*



We seek a hands-on VHDL Design Engineer to design electronic circuits. Design & Test of complex VHDL code using state-of-the-art development tools. Understanding of complex digital design and test concepts as it pertains to VHDL development efforts. Ability to develop VHDL code using Verilog, System Verilog, Altera or Xilinx development tools. Ability to execute VHDL code verification testing at the board and system levels. Candidate will specify device requirements, develop VHDL models and test benches, perform simulations, logic synthesis, device configuration, and next-level integration and test.



Required:
*5 years’ digital circuit firmware (not circuit card) design using VDHL, System Verilog or Verilog
*Quartus Prime Pro (within last three years); Stratix 10 family of devices

*Experience with some combination of MatLab, Labview, C, C++

*Experience with digital circuit / logic design, processor and/or RF design & architecture
*Must be able to get a Secret Clearance upon starting, which requires applicants be US Citizens

*BSEE



Pluses:

*Digital Signal Processing, MATLAB, FIR filters, PCIe, memory interface knowledge.
 
Job Number: 096
Job Location: Owego, NY
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Wiring Harness Designer

Description:
Position : Wiring Harness Designer
Location: Cedar Falls, IA
Duration: 3 years

Description:
Plans, coordinates and performs designs for complex components and assemblies using the preferred 3-Dimensional (3D) Computer Aided Design (CAD) tool and protocols. Uses technical judgment. May work with junior personnel to complete tasks.

Duties:
Plans, coordinates and performs design work for complex 3D engineering models and components. Exhibits detailed knowledge of Geometric Dimensioning & Tolerancing (GD&T) Standards and can apply it to many varied situations. Performs as expert user of 3D Computer Aided Design (CAD) system with considerable experience in Top Down design work for complex 3D engineering models and components. May provide document maintenance and have responsibility for placement and routing of electronic circuits. May create Printed Circuit Board (PCB) fabrication drawings, plan PCB projects and create electronic schematic diagrams. Provides modeling leadership and counsel to other employees.

Specific Position Requirements:
Manager is looking for candidates with mechanical backgrounds who have electrical knowledge.

Additional Job Description:
- Manage execution of small projects related to harness changes
- Create designs and modify existing designs using Creo Cabling
- Work with manufacturing and quality engineers to identify improvements to designs
- Interact with other design teams and the electrical team to coordinate co-effective changes
- Work with supplier(s) to determine best design practices and components
- Pull part numbers and decisions for parts being designed using PTC Windchill/SAP
- Review and approve two dimensional harness drawings
- Participate on a cross functional team and perform design reviews

Requirements:
- Ability to work independently and coordinate with other stakeholders
- Great communication skills
- 3+ years of experience with ProCable
- 3+ years of harness or cable design for vehicles

Nice-to-haves:
BS degree in Mechanical or Agricultural Engineering
Practical and/or design experience with Agricultural and/or Off-highway Equipment
Advanced experience with Pro/E, especially the Pro/Cabling module.
Experience with Capital Harness Systems (CHS)
Read electrical schematics to relate to wire harness designs

Please share resume at rchaudhary@iconma.com or call (804)915-9602
 
Job Number: 19-02028
Job Location: Cedar Falls, IA
Rate: Open
Per Diem: YES
Overtime: YES
Duration: 3 Years
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Rohit
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Firmware engineer

Description:
Seeking an Electrical Design & Analysis Engineer with an emphasis on firmware development to join the Advanced Navigation and Processors team.

Position Responsibilities:
Your duties as a firmware engineer will include (but are not limited to):
• Develop and maintain detailed requirements and specifications for FPGAs (Field Programmable Gate Array) and/or SoCs (System on Chip) implemented on processing platforms.
• Provide engineering support throughout the lifecycle of the processor circuit card assembly (CCA) design, conduct trade studies and literature research to support future product designs

Looking for candidates with experience in the following areas:
• Electrical / electronics engineer for VHDL coding of Field Programmable Gate Arrays (FPGA) and SoCs
• Design experience and implementation of high-performance digital signal processing hardware using FPGAs.
• Build and use of verification test benches
• Experience with Xilinx and/or Altera devices and tools such as Xilinx Vivado and ChipScope are desired.
• Hands-on experience of programming over JTAG and bring-up in a lab environment, gathering data for technical performance measures and performing basic analysis.
• Basic understanding of the following interfaces: RS-232, RS-422, RS-485, UART, PCIe, Ethernet, 1553 bus, CAN bus, AXI bus interface (xilinx specific), memory interfaces (nand flash, DDR2, DDR3)
• Version control utilities

Education:
Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.
 
Job Number: FIRMWARE2019
Job Location: Saint Charles, MO
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

Back to Advanced Job Search