Job Search Results

591 listings were found that matched your search words: Keywords: Electrical ( Please note: We assume that all U.S. jobs are "EOE/M/F/D/V" unless otherwise noted. ) ( Listing generated 2024/03/29 Friday 08:46AM Pacific Time by ContractJobHunter. )

Listings 1 - 591

Go To My Job Tracker

Expand all descriptions

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2469 - AVIONICS/ELECTRICAL SYS. - AFTERNOON, MIDNIGHT & WEEKEND SHIFT

Description:
Provide Avionics Engineering support to the Client Final Line Assembly during afternoon, midnight and weekend shift.

Witness system functional testing and conduct technical investigations on Electrical and Avionic systems related issues, analyze and develop solutions/recommendations and reports. Disposition RFCs, RNCs, and Supplement 2s as required.

Review functional test requirements and ensure translation into mature functional tests.

Track and ensure successful implementation of systems installations and modifications.

Work with the product development team to assist with technical proposal activities, including preparation of written material and presentations. Recommend and review design changes.

Develop good working relationships with various departments within BA and with all suppliers.

Bachelor of Engineering Degree and eligibility for Professional Engineer registration.

AME license is an asset.

Experience in providing engineering support to a Final Line/ Production.

15 years' experience in aircraft electrical systems and or avionics systems (Electrical Generation, Distribution, Lighting, Navigation, Communication, Displays, EICAS, Data Concentration System, AFCS, Stall System, OMS, FDR, CVR etc).

Conversant with design, qualification and certification test requirements of Aircraft Electrical and or Avionic systems.

Have flexibility to travel.

Familiar with Part 25 certification requirements and advisory material.

Possess strong integration skills, in both system partner management and system technical integration.

Familiar with Microsoft Office software tools.

Strong communication skills, ability to work well in a multi-disciplinary team environment.

Regular work day, some flexibility required:

Afternoon Shift (3:30 to 12:00) ;

Midnight Shift = (11:00 PM to 6:30 AM);

Weekend Shift = Friday, Saturday, Sunday and Monday.
Friday (3:30 PM -12:00 (midnight)),
Saturday (6:00 AM to 6:00 PM),
Sunday (6:00 AM to 6:00 PM)
Monday (8:00 AM 8 to 4:30 PM)
 
Job Number: 2469
Job Location: Canada
Rate: 62.00 US
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 12+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2500 - ELECTROMAGNETIC COMPATIBILITY (EMC) ENGINEER - cv, word doc only - On Hold

Description:
Responsible at the aircraft and system level for Electromagnetic Compatibility (EMI)/High Intensity Radiated Fields (HIRF)/Lightning Strike/ Electrostatic Discharge technical requirements.

Knowledge of relevant industry standards (RTCA/DO-160, SAE ARP) and high level of familiarity with airworthiness (TCCA, FAA, EASA) Regulations and Advisory Materials pertaining to EMI, HIRF, Lightning and Electrostatic Discharge.

Execute intermediate to complex engineering assignments related to EMI, HIRF, and Lightning and Electrostatic Discharge requirements.

Analyze new systems designs, assess technical impacts, propose EMC compliance approaches, review/approve technical documents, test plans, test procedures and test reports, and check compliance with certification regulations.

Define scope of work for EMC effort of technical/engineering projects, estimate the time required, plan, highlights technical risks and execute according to priorities.

Define technical requirements, test requirements and perform detailed analysis to ensure aircraft and systems compliance to EMC certification regulations.

Prepare EMI, HIRF, Lightning and Electrostatic Discharge test procedures, execute tests in laboratories and on aircraft and generate results and analysis test reports.

Support and witness EMC tests in Canada, United States and Europe as needed.

Master degree in Electrical or EMC Engineering, or alternatively a Bachelor's degree in Electrical or Physics Engineering with a minimum of eight (5) years of experience in aircraft EMC/HIRF/Lightning/Electrostatic Discharge (FAR 25.981 is an asset).

8 years of experience or more in an aerospace manufacturing company.

Have EMC bench and/or aircraft testing experience.

Have a minimum of five (5) years working experience with systems qualification/certification.

Knowledge of harness design, aircraft design principles including familiarity with aircraft regulatory requirements.

Proficient with the use of PC including Microsoft Office software tools.

Energetic person with strong written and oral communication and interpersonal skills, a high sense of commitment, and a willingness to accept responsibilities while acting independently in a high-pressure partner/supplier team environment.

Must be familiar with DO160, STD ARP, MIL STD, FAA regulations, as well as other applicable airworthiness regulations.

Must be able to analyze modifications at aircraft level and check compliance with certification regulations.

Must be able to support and witness EMC tests in Canada, United States and Europe as needed.
 
Job Number: 2500
Job Location: Montreal, Canada
Rate: 52.00
Per Diem: Paid 3 Months only
Overtime: Paid NOT at 1.5
Duration: 36+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2525 - METHODS ENGINEER - AFTERNOON SHIFT - cv, word doc only

Description:
Support the Client Final Line Assembly activities for a timely resolution of production line issues related to system integration.

Witness system functional testing and conduct technical investigations / troubleshooting on Hydro-Mechanical Systems (ATA 27, ATA 29, ATA 32), analyze and develop solutions / recommendations.

Support production to resolve non-conformance (NCR), tooling issues and procedure clarification / correction.

Track and ensure successful implementation of systems installations and modifications

Support the line by producing return into service (RTS) documentation.

Develop good working relationships with various departments within BA and with all suppliers

Bachelor Degree in Engineering or Master Degree (Aerospace Manufacturing, Aircraft Maintenance etc.).or a diploma from a technical college.

3-8 years%u2019 experience in aircraft Electrical and Avionics systems (Communication, Electrical Power, Indication/Recording Systems, Navigation, and Information Systems)and / or in Hydro-Mechanical Systems (Fly-by-Wire systems, Wing High-Lift, Landing gear, Hydraulic Systems).

Experience in providing support / troubleshooting to Aircraft Maintenance / Final Line / Production Line / Test Bench / Simulator.

Are process oriented.

Possess strong analytical skills, you are a quick learner.

Are a team player, able to work in high-stress environment.

Have excellent interpersonal and communication skills.

Autonomous persons, capable of managing time and prioritites

Must have a good knowledge of MS Office and Requirements Management tools.

Knowledge of SAP is an asset.

Knowledge of MES is an asset.
 
Job Number: 2525
Job Location: Toronto, Canada
Rate: 51.00 US
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 12+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2527 - AVIONICS ISE ENGINEER - 2527 - cv, word doc only

Description:
Lead resolution of technical in service issues for the Client Family of aircraft.

Coordinate technical investigations with other engineering disciplines, suppliers and aircraft operators.

Prepare and issue Electrical and Avionics related product changes, modifications and repairs.

Answer technical queries from the Field Service Representatives and the airline operators.

Be involved in operators' conferences and operator technical reviews.

Analyze and approve new system concept proposals and integrate them in aircraft documentation.

Prepare functional test engineering requirements (FTER), Test definition Sheets (TDS) and avionics systems certification reports.

Coordinate with system suppliers to achieve good integration.

Communicate and coordinate with Transport Canada's design approval designees (DADs).

Investigate avionics system problems identified on aircraft in service or in production. Provide engineering dispositions. Support Continuous Airworthiness (CAW) processes.

Bachelor Degree in Aeronautical Engineering or equivalent.

Must be a team player showing initiative, have a strong sense of responsibility and possess good technical and commercial judgment.

Must have at least 10 years relevant experience with the specification, design, development, integration, test and certification of Avionics systems on aircraft.

Knowledge of certification requirements is required.

Technical experience on the Client family of aircraft is an asset.

Must have strong English (written and spoken) communication skills.

Must be capable of working under pressure, be attentive to details, have good planning skills and be fully committed to accomplishing work on time and on schedule.

Must be computer literate and familiar with commonly used software (MS Office).

Catia V5 experience is an asset.

Must have strong investigative skills.

Are self-starter, enthusiastic, well organized and customer oriented.

Previous experience with Network Security or (FMS) Flight Management System or Autoflight and generic working knowledge of Navigation and communication system is required.

Familiarity with part FAR25 / 525 certification process is an asset.

Experience with civil aircraft and airworthiness authorities is an asset.
 
Job Number: 2527
Job Location: Mirabel, Canada
Rate: 55.00
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 36+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2528 - ELECTRICAL SYSTEMS ENGINEER - cv, word doc only

Description:
Integrate electrical systems on Client family of aircraft (including power generation systems, electrical wiring interconnection systems (EWIS), lighting systems, etc.).

Ensure that all new electrical system concepts meet applicable aircraft system requirements (FAR, RTCA DO160, etc.) and to Client Aerospace requirements and practices.

Prepare requirement documents including technical quotations, system schematics, technical requirement documents (TRD) and statement of work (SOW).

Analyze and approve new system concept proposals and integrate them in aircraft documentation.

Prepare functional test engineering requirements (FTER), Test definition Sheets (TDS) and electrical systems certification reports.

Coordinate with system suppliers to achieve good integration.

Communicate and coordinate with Transport Canada's design approval designees (DADs).

Provide the required electrical expertise and support for the integration of all other aircraft systems (avionics, hydromechanical, pneumatics, propulsion, etc.).

Investigate system problems identified on aircraft in service or in production. Provide engineering dispositions and repair procedures.

Create electrical system schematics and review system

University degree in Engineering or equivalent.

Must be a team player showing initiative, have a strong sense of responsibility and possess good technical and commercial judgment

Must have at least 5 years relevant experience with the specification, design, development, integration, test and certification of Electrical systems on aircraft.

Technical experience on the Client family of aircraft is an asset.

Must have strong English (written and spoken) communication skills.

Must be capable of working under pressure, be attentive to details, have good planning skills and be fully committed to accomplishing work on time and on schedule.

Must have abilities in AutoCAD/AutoSketch.

Must be familiar with part FAR25 / 525 certification process.

Must be computer literate and familiar with commonly used software (MS Office).
 
Job Number: 2528
Job Location: Mirabel, QC, Canada
Rate: 58.00 US
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 12+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2529 - HYDRO-MECHANICAL SYSTEMS ENGINEER

Description:
Integrate hydromechanical systems on CRJ Family of aircraft (including landing gear, flight control, hydraulic systems, etc.).

Provide the required hydromechanical expertise and support for the integration with other disciplines (including design, RM&S, airworthiness, electrical, avionics,
propulsion, etc.).

Coordinate with system suppliers to achieve good integration.

Investigate system problems identified on aircraft in service or in production.

Provide engineering dispositions and repair procedures.
Support Continuous Airworthiness (CAW) processes.

Analyze and approve new system concept proposals and integrate them in aircraft documentation.

Ensure that all new hydromechanical system concepts meet applicable aircraft system requirements (FAR, RTCA DO160, etc.) and Client Aerospace requirements and practices.

Communicate and coordinate with Transport Canada's design approval designees (DADs).

Prepare requirement documents including technical quotations, system schematics, TRDs and statement of work (SOW).

Prepare and/or review system definition drawings, qualification documentations, functional test engineering requirements (FTER), Test definition Sheets (TDS), design compliance reports, reliability reports and other system certification
reports.

Provide technical support to the production line and to in-service aircraft as required.
University degree in Engineering or equivalent experience, in the mechanical field. A specialization in aeronautical applications is desirable.

Technical experience on the Client family of aircraft is an asset.

Must have strong English (written and spoken) communication skills.

Manage various projects under strict budget and schedule requirements.

Are able to manage conflicts and solve problems.

Are self-starter, enthusiastic, well organized and customer oriented.
 
Job Number: 2529
Job Location: Mirabel, QC, Canada
Rate: 54.00 US
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 12+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
2535 - PROGRAM ANALYST - CHNG. MGMNT - cv, word doc only

Description:
Understand aircraft component assembly and manufacturing strategies as well as the impact on program strategies.

Identify the key risks of the project, including mitigation / reduction strategies.

Harmonize the implementation of engineering changes or assembly strategy by working closely with engineering, material logistics, quality and production departments.

Ensure a flawless implementation of change management on the production line.

Develop and implement targets and monitoring mechanisms to track performance and progress, prepare status reports and modify timelines and schedules as required.

Influencing (leadership) the day-to-day multidisciplinary team towards the achievement of program objectives.

Climb to the appropriate level of management when required.

Bachelor Degree in mechanical engineering, avionics, electrical, industrial, or electromechanical, in aeronautical manufacturing or in a related discipline.

Have experience in the aeronautical industry.

Have more than five (5) years of relevant experience.

Have initiative, are result-oriented and have the desire to make decisions.

Have strong leadership skills, as well as strong analytical, planning and problem solving skills.

Have excellent communication skills (written and spoken) and are able to influence at different levels of the organization.

Are able to work under pressure with tight deadlines while delivering quality results.

Are using the MS Office suite effectively (Word, Excel, PowerPoint, and Project).

Are fluent in English and French, both written and spoken.
 
Job Number: 2535
Job Location: Montreal, Canada
Rate: 51.00 US
Per Diem: Paid – 3 Mths Only - @ $225 per. Wk
Overtime: Paid – NOT at 1.5
Duration: 12+ Mths
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: TDM TECHNICAL SERVICES
Attention: CV Recibe
Address: 88 ST REGIS CRES N
City, State: TORONTO, ON M3J 1Z3
Country: CANADA
Phone: 416/777-0007
Fax Phone: 416/777-1117
Website: www.tdm.ca

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aeronautical Engineer

Description:
Location: Palmdale, CA
Rate: $70.00 - $80.00/HR
Duration: 1 year contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****
****MUST have a Bachelor's Degree****
****MUST have an Active Secret Clearance or to have had one within the last two (2) years****

Job Description:
- Candidate will be responsible for testing and developing data structures to assure accurate testing of Design and Support of the production and flight test fluid conveyance routing and installation, Electrical Wire Harness Installation and Equipment Installation and structural design.
- Verify the aerosource tool will support shop floor, flight line, and off-site aircraft build and operations.
- Will support validation that aerosource will allow for data management in the areas of creating layouts, creating new drawings and revising existing drawings using CATIA V5 to support aircraft fluid systems installations, harness installations and equipment installations.
- Will work production floor support from receipt of problem, coordination of answer to release of engineering change.
- Designs, develops, and tests a variety of power plants, aircraft, missiles, and parts, taking into consideration the most efficient use of the natural laws affecting flight.
- Establishes structural specifications, performs stress analysis, and conducts performance tests. '


Required Skills:
- Catia V5 experience is required.
- Airframe experience is required.
- Experience designing composites is a plus.
- Experience with Siemens Fibersim is a plus.

Education:
- Level 3: BS+9-15 YRS or MS+7-13 YRS
 
Job Number: 9610
Job Location: Palmdale, CA
Rate: $70.00 - $80.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aeronautical Engineer / Catia V5 airframe design composites Fibersim - Clearance URGENT NEED CA

Description:
1090-1 Aeronautical Engineer / Catia V5

Work Location: Palmdale, CA
Status: Open

Qty: 10
12 months

Job Description:
Candidate will be responsible for testing and developing data structures to assure accurate testing of Design and Support of the production and flight test fluid conveyance routing and installation, Electrical Wire Harness Installation and Equipment Installation and structural design. Verify the aerosource tool will support shop floor, flight line, and off-site aircraft build and operations. Will support validation that aerosource will allow for data management in the areas of creating layouts, creating new drawings and revising existing drawings using CATIA V5 to support aircraft fluid systems installations, harness installations and equipment installations. Will work production floor support from receipt of problem, coordination of answer to release of engineering change. Designs, develops, and tests a variety of power plants, aircraft, missiles, and parts, taking into consideration the most efficient use of the natural laws affecting flight. Establishes structural specifications, performs stress analysis, and conducts performance tests.

Catia V5 experience is required.
Airframe experience is required.
Experience designing composites is a plus.
Experience with Siemens Fibersim is a plus.

Work Location: Palmdale, CA
Level: Level 3: BS+9-15 YRS or MS+7-13 YRS
Pay Rate: $68.00 Hourly

Experience
Areas of Expertise CATIA Yes 1 4 - 6 Years
Areas of Expertise Composites and Materials No 2 2 - 4 Years
 
Job Number: JE-1090-1S5
Job Location: Palmdale, CA
Rate: 68/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Aircraft Systems Design Engineer

Description:
Description
Knowledge of air vehicle systems, systems integration, engineering design, the drawing release process and subsystems/component qualification
Develops and refines system level requirements for vehicle systems based on customer and implied requirements
Ability to evaluate flight test and component qualification data for verification and validation purposes and to identify further testing or development/re-design work
Reviews, updates, and compiles engineering definition of aircraft system components and assemblies based on records from the current prototype aircraft program
Supports review of manufacturing plans and procedures to ensure compliance with design requirements
Supports the creation of system test plans which will be used to verify the design intent meets requirements
Confers with management, engineering, and other staff regarding manufacturing capabilities, production schedules, and other considerations to facilitate production processes


Qualifications
B.S. degree in Mechanical, Aerospace, or similar discipline is required
8+ years of relevant industry experience; 10+ years is preferred
Experience with CATIA V5 strongly preferred, or SOLIDWORKS or equivalent
Experience in aircraft systems design, (mechanical, pneumatic, electrical) assembly and test processes
Experienced design (broad based aircraft experience) with knowledge of design manufacturing tolerances for production of components using GD&T
Experience with Product Lifecycle Management tools (PLM software), Requirements management tools and configuration management
Excellent verbal and written communication, excellent computer skills including Microsoft Word, Excel, and PowerPoint
Strong team player and ability to work independently with excellent organizational and problem-solving skills
Knowledge and experience with AS9100 a plus
Experience with the design of flight vehicles or vehicle systems to a set of requirements or standards such as CFR 14, Part 400, Part 23 and 25, DO-160, military (MIL-STD-810) or NASA are highly desired
Experience with manufacturing based ERP systems a plus


The Structures Company is an AA/EOE.
 
Job Number: 266
Job Location: CA
Rate: $65-$70/Hr. **DOE**
Per Diem: Yes
Overtime: Yes
Duration: 9+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Specialist (S-70 and Blackhawk)

Description:
The candidate must have at least 20 years of experience specifically supporting and/or maintaining Avionics and Electrical equipment on the Sikorsky S-70 and Black Hawk helicopters.
Advanced troubleshooting ability is required.
Familiarity with MS Dynamics and at least 5 years’ experience with Sikorsky Systems such as Matrix One, ERC, SAMS, EPATS, RFA, Worldview, and Technical Publications required.
No exceptions will be made due to critical nature of this support.
Assets working this project must be experienced in direct support of these fielded aircraft.
 
Job Number: 1910097227
Job Location: Trumbull, CT
Per Diem: split
Overtime: possible
Duration: 2 years
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Stephanie Spolzino
Address: 50 FOUNDERS PLAZA STE 304
City, State: EAST HARTFORD, CT 06108
Phone: 860/289-2643
800 Phone: 866/636-4723
Fax Phone: 800/556-5284
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Subsystem Lead Engineer

Description:
seeking an Avionics Subsystem Engineer with strong experience enabling him/her to be responsible for all activities related to the design, development, integration, testing and certification of the primary avionics, secondary avionics, pitot statics, navigation, synthetic vision, enhanced vision, communications, antennae, radar, data acquisition, safety systems, health monitoring & reporting and integrated modular avionics. The successful candidate will manage the various avionics systems design and system’s suppliers, as well as all design and certification activity required. The Avionics Subsystem Lead Engineer will work out of our Reno, NV, headquarters and report to the Director of Cockpit IPT.

Duties include, but are not limited to:
• Develop avionics systems design, architecture and product plan, including qualification and certification plans
• Provide subject matter expert level technical leadership, mentoring and guidance on both practical and theoretical aspects of avionics systems
• Define requirements for the avionics systems to ensure compliance with regulations and customer requirements
• Provide validation and verification of avionics systems requirements
• Perform avionics systems tests and certification, and provide system and certification documentation
• Interface directly with avionics systems suppliers
• Manage the avionics scheduling, budget and resources
• Report subsystem status to ensure milestones are met in support of the overall program schedule

Requirements:
• Must be either a US citizen, a US permanent resident or someone who otherwise meets the requirements of a US Person under EAR part 772 and ITAR 120.12
• Cannot be party of any restrictive covenant, non-compete, non-solicitation, or non-disclosure / confidentiality agreement with current or any former employer that would prevent you from fully performing the duties of the position
• Minimum of a Bachelor’s Degree in Aerospace, Electrical Engineering or other relevant Engineering position
• Master’s degree in a technical and/or management specialty preferred
• 10+ years of deep, hands-on experience in Avionics systems development, design and testing in Military, Commercial and/or business jet aircraft
• 3+ years of demonstrated experience leading technical resources and teams, both internally and externally, as well as both on-site and off-site
• In-depth and current knowledge of Part 25 regulatory requirements
• Experience and expertise in the development of commercial and/or high-end business jets strongly desired
• Recent experience in developing avionics systems from concept through certification strongly desired
 
Job Number: 42-005
Job Location: Reno, NV
Rate: DOE but very competitve
Per Diem: YES
Overtime: YES
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Systems Integration Engineer

Description:
Avionics Systems Integration Engineer to join our Avionics team. The scope of the avionics engineering team includes: flight avionics hardware; signal conditioning; data acquisition; actuator control; RF communication; wire harnesses; vehicle guidance, navigation, control; fault tolerance; ground-support electronics hardware and software; and integrated vehicle health monitoring. The ideal candidate will have at least 2 years of experience working with avionics and electrical systems in the aerospace or related fields. A college graduate with related project experience and a passion for aerospace will be considered. We are looking for a generalist who will contribute to several roles in a fast paced environment.

Your Mission
• Design, Integration, and testing of avionics and aircraft electrical systems
• Develop vehicle, system, and component requirements for design, procurement, and test
• Evaluate and select COTS avionics subsystems.
• Work with hardware engineers and subcontractors to define requirements and interfaces for custom avionics hardware
• Create vehicle level schematics
• Plan, execute, and report on vehicle ground and flight testing
• Troubleshoot vehicle systems using schematics, multi-meters, oscilloscopes, bus analyzers, etc.

What you bring
• Experience with digital communication protocols such as RS232, RS422, RS485, Ethernet, MIL-STD-1553, CAN
• Hands-on electrical and/or avionics experience with aerospace or related systems
• Strong understanding of electrical engineering fundamentals
• Printed circuit board design experience is a plus
• Experience with analog and digital circuit design is a plus
• Experience with PCB design, FPGA, microcontrollers, and real-time systems is a plus
• 2 or more years of relevant integration and testing experience in aerospace or similar field
• New college graduates with relevant course and project work will be considered
• Experience with embedded system hardware and software
• Strong troubleshooting, organizational, and written communication skills

The Structures Company is an AA/EOE.
 
Job Number: 277
Job Location: CA
Rate: OPEN - **DOE**
Per Diem: Yes
Duration: 9+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics Wire Harness Design & Manufacturing Engineer

Description:
Seeking an experienced and talented Avionics Wire Harness Design & Manufacturing Engineer to join our Avionics team. The scope of the avionics engineering team includes: flight avionics hardware; wire harnesses; LRU design, & instrumentation. The ideal candidate will have at least 4 years of experience working with electrical harness design, electrical harness manufacturing, & vehicle integration.

Qualifications/Requirements:
• Produce wire harness assembly (formboard) drawings
• Produce wire harness manufacturing instructions
• Produce wire harness termination drawings
• Strong understanding of wire harness manufacturing process and formboard drawings
• Strong understanding of electrical schematics & termination drawings
• Experience working with electrical designers during schematic creation
• Experience integrating wire harnesses in vehicle
• Extensive knowledge of aircraft-type wiring and components such as connectors, backshells, conduits, relays, switches, terminal junction system components, etc.
• Catia V5 Electrical Workbench is a plus
• Experience with Mentor Graphics is a plus
• Experience with composites is a plus
• Must have a B.S. Engineering degree from an accredited university

The Structures Company is an AA/EOE.
 
Job Number: 262
Job Location: CA
Rate: **DOE**
Per Diem: Yes
Duration: 9+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Avionics/Electrical Design Engineer

Description:
Seeking an Avionics/Electrical Design Engineer.The ideal candidate will have at least 4 years of experience working with avionics and electrical systems design. The scope of the avionics/electrical design engineering position includes: Avionics and electrical system integration and design; wire harness design; electrical load analysis and sizing; electrical component selection.

Knowledge/Experience
• Avionics systems
• EWIS & SAE AS50881
• Schematic Drawings
• Electrical Load Analysis

Responsibilities
• Aircraft electrical system design and component selection
• System integration design for avionics equipment in aircraft/spacecraft including preparation of schematics and wiring harness drawings
• Responsible for the technical performance of the avionics system
• Develop solutions to address avionics obsolescence

Educational Requirements:
Must have a B.Sc. Electrical Engineering degree from an accredited university

Professional Requirements:
• 4 or more years of relevant avionics engineering experience on development aircraft and/or spacecraft
• Strong troubleshooting, organizational, and written communication skills
• Applicants must be U.S. persons as defined by the ITAR (22 CFR §120.15)


The Structures Company is an AA/EOE.
 
Job Number: 271
Job Location: CA
Rate: $70+/Hr. **DOE**
Per Diem: Yes
Duration: 9+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
CNC Maintenance Technician

Description:
CNC Maintenance Electronics Technician

JOB FUNCTION
CNC Maintenance Electronics Technician works with all elements involved in maintaining CNC machines to OEM standards. This involves overseeing the health of all CNC machines and participating in TPM events. Provide detailed reports on all work orders.

JOB RESPONSIBILITIES

•Perform PM’s and Ball Bar tests per schedule.
•Responsible to maintain all systems on CNC machines
•Troubleshoot and maintain Chiller systems, A/C Systems and Hydraulic systems
•Knowledge of Renishaw Laser Compensation System
•Use machine tool manuals, technical documents, along with internal and vendor resources to research machine issues.
•Square up and realign 5 axis CNC Machines
•Provide Reports and documentation of repairs
•Research problems with machine manufacturer as needed
•Work based on a sense of urgency with machine down conditions.
•Write or modify existing PLC software code as needed



REQUIRED SKILLS

•Ability to read parts manuals, electrical and machine schematics, assembly instructions and troubleshooting guides
•Familiarity with Fanuc 30i, 15MB, Heidenhain iTNC 530, and Siemens 840D Controls
•Knowledge of and experience with electrical test equipment, electrical, hydraulic and mechanical systems.

EXPERIENCE
•10+ years’ experience in a manufacturing/maintenance environment

EDUCATION
Any combination of education and experience providing the required skill and knowledge for successful job performance will be considered.

• Associate degree in Electronics, Technical School or Certification or Military training in Electronics; Education may be considered in lieu of experience for this role.
•Must have excellent verbal and written skills
 
Job Location: Irvine, CA
Rate: $35-$38
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: MORSON INTERNATIONAL
Attention: Stephanie Vu
Address: 10800 GOSLING RD BOX 131565
City, State: SPRING, TX 77393
Phone: 713/636-2532
Fax Phone: 713/636-2523
Website: www.morsonusa.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Catia V5 Instructor

Description:
Location: Tulsa, OK
Rate: $60.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
Ideal Candidate will primarily teach, assist and mentor employees with CATIA v5 and SmarTeam. Candidate will support Engineers and be expected to answer questions intelligently in a class setting. This candidate will primarily be on location in Tulsa and be expected to travel back and forth to Savannah and sub tier supplier sites to support the company in Oklahoma.
- CATIA v5 experienced with 5000+ hours.
- All Level 1 tools CATIA v5 (Part Design, Assembly, Drafting).
- Level 2 tools CATIA v5 Generative Surfacing, Free Style Shaping, Generative Sheetmetal, Generative Stress Analysis.
- Other tools: CATIA v5 Composites, Electrical EHI, Capitol Harness, Tubing experience a plus.
- Quantitative experience with Geometric Dimensioning and Tolerances a plus.
- Experience working within PDM systems such as Enovia, SmarTeam or NX/Teamcenter.
- Formal Classroom instruction experience required for CATIA and PDM.

Education and Experience Requirements:
- Bachelors degree in engineering, a related curriculum, or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
- See Other Requirements in Job Description.
- 5 years in specific technical discipline or 10 years broad eng experience in several technical disciplines with Bachelors.
- Experience credit considered for related adv degrees limited to 2 years for Masters, 4 years for PhD in fields applicable to this job.

Position Purpose:
Assists with the planning, coordination, performance of design, analysis and liaison engineering supporting the development and production of company products and services

Principal Duties and Responsibilities:
Essential Functions:
1. Contributes to planning, developing and coordinating of important engineering projects
2. Provides specialized technical assistance to team members
3. Uses advanced techniques and modified extension of theories to provide technical solutions to a wide range of difficult problems
4. Determines and develops own approach to solutions within schedule and cost objectives
5. Works under limited supervision; work should only be required to be reviewed for accuracy and consistency with meeting overall objectives
6. Acts as liaison with representatives outside of the assigned group/area

Additional Functions:
1. Actively works to improve daily processes and ensures all work meets customer requirements
2. Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product
3. Performs other duties as assigned

Other Requirements:
1. Advanced degree in engineering related field preferred
2. The level of technical skill and abilities appropriate for this grade will be established by each discipline
 
Job Number: 10486
Job Location: Tulsa, OK
Rate: $60.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Completions Design Engineer

Description:
Position Purpose:
• Guides successful completion of major engineering programs, or conceives research in areas of considerable scope and complexity supporting the development and production of Gulfstream products and services.

Unique Skills:
• Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft. Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations.
• Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis.
• Knowledgeable with Part 25 aircraft requirements and some familiarity with Part 135 requirements.
• Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam. Design Engineer will be required to liaison with the shop on engineering issues.
• Design assignments Item Installation Analysis, Similarity Analysis and Mount Configuration along with any other required items.
• Design engineer will employ design standards consistent with company standards and accepted design methods are executed under the direction of the Mechanical Engineering Group Head to meet the manufacturing schedule.
• Additional responsibilities are out lined in the job description and as assigned by the Mechanical Engineering Group Head.
• Preferred skills: Mechanical Design in Aircraft Cabin Interiors, CATIA, Smarteam, AutoCAD, Microsoft Project, Excel and Power Point.

Principle Duties and Responsibilities:
• Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues
• Is consulted extensively by associates and others as a recognized authority within the field
• Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities
• Approach to problem solving balances technical product, cost and schedule
• Works without appreciable direction; work is reviewed from a long-range perspective for desired results
• Exercises latitude in determining technical objectives of assignments, and makes decisions and recommendations that are recognized as authoritative
• Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product

Education and Experience Requirements:
• Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
• Ten (10) yrs in specific technical discipline or fifteen (15) yrs broad eng experience in several technical disciplines with Bachelors.
• Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.

Other Requirements:
• Advanced degree in engineering, or related curriculum preferred
• The level of technical skill and abilities appropriate for this grade will be established by each discipline
 
Job Number: 24-336
Job Location: Savannah, GA
Rate: $58
Per Diem: YES
Overtime: YES
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Brandon
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Design & Analysis Engineer

Description:
Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: 35657
Job Location: Oklahoma City, OK
Per Diem: Yes
Overtime: Possible
Duration: 6 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Angie Leahey
Address: 1215 FERN RIDGE PKWY STE 231
City, State: ST LOUIS, MO 63141
Phone: 314/628-9143
800 Phone: 800/472-3737
Fax Phone: 314/628-9485
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Digital Design Engineer

Description:
Job Description:
Opportunity for contract-to-hire based on worker performance, worker attendance and dependability and client business needs

Must be US citizen and eligible for security clearance. The National Agency Check with Inquiries (NACI) is a background investigation primarily for federal employees who will not have access to classified information. DoD clearance is not required.

Client is seeking an individual with specialized skills in digital design tools with a firm background in computer operating systems and processes necessary to develop Client and unique digital circuit blocks and apply advanced algorithms in next generation silicon processes. Primary job functions will include system administration, installation, and maintenance of an advanced circuit design environment consisting of commercial- and government- developed electronic design software. Other duties may include supporting analog and RF design, developing standard cell libraries, and testing fabricated chipsets. The selected candidate will represent client and DoD customers in outside discussions and technical forums. This position will require some travel and require a security clearance.

Required Skills & Experience
A BS Degree in Electrical/Electronic Engineering or Computer Science with five (5) years of experience is required. The applicant must be familiar with the Red Hat enterprise operating system or comparable Linux distributions, installation, maintenance, port security, backup, and shell scripting.
Familiarity with installation and maintenance of the advanced Cadence Design Systems IC design flow, Synopsys, and Mentor Graphics tools is required.
The applicant must be capable of debugging and troubleshooting electronic design tool installation/maintenance and interaction with 3rd party tools and process design kits.
Experience in deriving verification test benches from detailed specifications is required.
Familiarity with at least one (1) commercial scripting programming language such as PERL or Python is required.

Desired Skills and Experience
Additional experience in digital circuit design is desired, including: Verilog/VHDL behavioral modeling
Digital IC design for manufacturing (JTAG insertion, test vector generation, Built-In Self-Test), an understanding of physical design issues including layout, familiarity with memory compilers
Cadence Skill code development, and fundamentals of Process Design Kits (PDKs).

Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.
 
Job Number: 19-09589
Job Location: Dayton, OH
Rate: OPEN
Per Diem: SPLIT
Overtime: YES
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Sebastian Hernandez
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Digital Design Engineer

Description:
Job Description:


  • Client is seeking an individual with specialized skills in digital design tools with a firm background in computer operating systems and processes necessary to develop Client and unique digital circuit blocks and apply advanced algorithms in next generation silicon processes.

  • Primary job functions will include system administration, installation, and maintenance of an advanced circuit design environment consisting of commercial- and government- developed electronic design software.

  • Other duties may include supporting analog and RF design, developing standard cell libraries, and testing fabricated chipsets.

  • The selected candidate will represent our Client and DoD customers in outside discussions and technical forums.

  • This position will require some travel and require a security clearance.




Required Skills & Experience


  • A BS Degree in Electrical/Electronic Engineering or Computer Science with five (5) years of experience is required.

  • The applicant must be familiar with the Red Hat enterprise operating system or comparable Linux distributions, installation, maintenance, port security, backup, and shell scripting.

  • Familiarity with installation and maintenance of the advanced Cadence Design Systems IC design flow, Synopsys, and Mentor Graphics tools is required.

  • The applicant must be capable of debugging and troubleshooting electronic design tool installation/maintenance and interaction with 3rd party tools and process design kits.

  • Experience in deriving verification test benches from detailed specifications is required.

  • Familiarity with at least one (1) commercial scripting programming language such as

  • PERL or Python is required.




Desired Skills and Experience

Additional experience in digital circuit design is desired, including: Verilog/VHDL behavioral modeling, Digital IC design for manufacturing (JTAG insertion, test vector generation, Built-In Self-Test), an understanding of physical design issues including layout, familiarity with memory compilers, Cadence Skill code development, and fundamentals of Process Design Kits (PDKs).



Special Requirements/ Certifications:

Must be US citizen and eligible for security clearance. The National Agency Check with Inquiries (NACI) is a background investigation primarily for federal employees who will not have access to classified information. DoD clearance is not required.

Opportunity for contract-to-hire based on worker performance, worker attendance and dependability and client business needs
 
Job Number: 18-12267
Job Location: Dayton, OH
Rate: 0.0USD/Hour
Per Diem: SPLIT
Overtime: Possible
Duration: 2018-08-10 00:00:00.0
Start Date: 2018-05-13 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Cody Grimes
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Development Engineer

Description:
One of the country's leading heavy trucking manufacturers is looking for an Electrical Development Engineer to support the body controller team with answering/solving critical current product issues and with design and testing of new software functionalities.

Essential Duties and Responsibilities
• Investigate software and electronic issues by going through a thorough troubleshooting process. Report issues to supplier or internal stakeholders and follow through resolution
• Answer shopcall issues to support plant builds
• Answer custom work orders (CWO) requests
• Release software parameters
• Author ECU software specifications in internal documentation tools
• Support the team during the ECU communication and interface update phase and for the ECU extract
• Perform component test bench verification tasks for software functionalities to confirm that they were implemented to specifications

Required background experience and skills
• BS degree in electrical or computer engineering (MS degree preferred).
• 2+ years of previous work experience with electronic components and software development
• Experience with electronic component and software testing.
• Strong troubleshooting and analytical mindset
• Good oral, presentation, and written communication skills.
• Customer Service-supportive mindset
• Proficient PC skills including Office suite

• Automotive or Truck Electronic and software development experience preferred
• Programming languages:
o Matlab/Simulink required
o Python/C preferred
• Experience with CAN Network communication and CAN tools (CANalyzers/etc.)

Top 3 MUST-HAVE Hard Skills
• Testing of electronic and software feature requirements on bench equipment
• Troubleshooting mindset
• Experience in Software Development for automotive electronic features



Additional Information:

Hours: Mon - Fri 8am - 5pm
Duration: 18 months - possibility for 24 months
Location: Portland, OR (Swan Island)
 
Job Number: 5665
Job Location: Portland, ORE
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 18+ months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: OLSA RESOURCES INC
Attention: Dina
Address: 3485 NE JOHN OLSEN AVE
City, State: HILLSBORO, OR 97124
Phone: 503/608-7895
Fax Phone: 503/608-7896
Website: www.olsaresources.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer II, III, IV, & V - (MO)

Description:
Active Secret Clearance Required To Start.

We are seeking highly motivated engineers with design and analysis experience to support Vehicle Management System (VMS) development, integration and test, including Electronic System Integration Laboratory (ESIL) development and integration, and requirements validation/verification efforts, and ultimately flight testing. Personnel are needed to support the Navy MQ-25 carrier based autonomous tanker program as well as numerous proprietary efforts. Work will be performed at the St. Louis area campus locations supporting Phantom Works, Strike, Surveillance and Mobility, and other business units. Candidates will support or potentially lead development and integration activities for various fixed wing, weapons and proprietary programs.

POSITION RESPONSIBILITIES
• Understand airplane input signal processing, redundancy management, system monitoring and control
• Flight Control Components hardware (HW) and software (SW) system-level verification and validation testing including hardware design, integration and qualification
• Develop requirements for the Vehicle Management System (VMS) test assets
• Monitor supplier performance to ensure system integration and compliance with requirements
• Perform hardware root-cause analysis and support overall system integration anomalies
• Work with a variety of airplane analog sensors (Linear Variable Differential Transformers (LVDT), pressure sensors, proximity sensors, etc.)

Basic/Required Qualifications:
• Experience with avionics integration and lab environments

Preferred Qualifications (Desired Skills/Experience):
• Strong knowledge of VMS design activities (HW/SW design, integration, modeling, and test procedure development
• Experience in analog and digital circuit design and analysis
• Experience with Microsoft Visio, Clearcase, ClearQuest, C++, C#, Visual Studio, Python

Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEMO
Job Location: Hazelwood, MO
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 12+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical / Electronic Systems Design & Analysis Engineer III - (Everett & Seattle)

Description:
Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEWA
Job Location: Seattle, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Design Engineer Military Wire Harness (158)

Description:
*This is a full time, 8 month contract, located in Palmdale, CA*



We are hiring for an Electrical Design Engineer specialized in designing wire harnesses and routings for military, especially aircraft. The candidate will be crating wire assembly and harness diagrams, configuring proper routings, working around issues that arise including integration issues, ECOs and last minute changes to specifications. The role will also require that design be self checked by contractor as no Checkers will be assigned to contract. Environment will require heavy interface with production line technicians, engineers, etc. and working with Termination Aids, routing documents and master sketches.



Required:
*9 years’ experience in electrical military aircraft design 3D software.

*Experience with ANSI/ASME Y14.100 or similar design/drafting standards
*Experience reading and creating electrical drawing schematics for wire harness assemblies
*Per diem split is available for those travelling for this position
*Current Active Secret Clearance (and recently investigated within the last 5 years)
*Ability to work 2nd and weekend shifts if needed (in addition to 1st shift)



Plus:

*Catia V5 knowledge
 
Job Number: 158
Job Location: Palmdale, CA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Active DoD secret level clearance a plus

Researches and designs electrical component integration into C-130 platforms. Interpret requirements and then research, develop and document electrical designs using applicable industry specifications such as SAE AS50881 and related design and drafting standards.
 
Job Number: 0450
Job Location: KY
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer

Description:
Location: Palmdale, CA
Rate: $70.00 - $120.00/HR
Duration: 5 month contract
Shift: 1st Shift
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen****
****MUST have a Bachelor's Degree****
****MUST have an Active Secret Clearance or to have had one within the last two (2) years****

Job Description:
- Designs and tests Electrical system, components, equipment, and networks for Military air vehicle.
- The applicant must have extensive experience in Wiring Harness Design, Build, and Test.
- Considered a Subject Matter Expert (SME) in the area of Harness Design and Build.
- Experience with System Integration of Hardware in a simulation test environment is desired.
- Able to evaluate electrical wiring and harness assembly diagram for build and integration.
- Candidate will be part of a team effort to develop wiring from design to installation, to include interfacing between Electrical Wiring Design and Production/Manufacturing to ensure wiring requirements flow from design to installation are processed in accordance to Company Specifications.
- Make real-time design modification to resolve issues, and update design documents to reflect changes.
-Able to work in a Shop (Prototype) environment and with interacting with technicians.

Duties include:
- Creating wiring diagrams and harness assembly diagrams
- Resolving wiring/integration related issues between the various avionics/electrical systems
- Validating/interpreting/troubleshooting/resolving any wiring related issues
- Performing technical checks to ensure wiring document correctness
- Providing engineering sign-off on all new/revised manufacturing wiring documents
- Termination Aids (TAs) and Wire Harness Master (WHM) sketches

Education:
- Level 3: BS + 9-15 years exp. or MS + 7-13 years exp.
- Experience with Design, Implementation and Test experience with aircraft harnesses required.
 
Job Number: 10234
Job Location: Palmdale, CA
Rate: $70.00 - $120.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 5 mos
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer - AutoCAD

Description:
Cabin Management systems, in-flight entertainment. Military avionics experience may be considered. AutoCAD
 
Job Number: 18-12794
Job Location: TX
Per Diem: Split
Overtime: Possible
Duration: Possible Year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: AGENTE TECHNICAL
Attention: Alexa Bui
Address: 714 CENTERPARK DR STE 140
City, State: COLLEYVILLE, TX 76034-2852
Phone: 972/415-4545
800 Phone: 866/758-2494
Fax Phone: 866/717-5927
Website: www.agentetech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer - Vehicle Mechatronics

Description:
One of the world's leading heavy truck manufacturers is looking for an Electrical Engineer to join their team!



Purpose:

Apply engineering principles to improve electronic components.
This includes the development of concepts to improve operation of components and the analysis of associated technical problems.
Manage considerable complexity while working with minimum of supervision.


Essential Duties and Responsibilities:

Creates and updates project plans.
Plan, coordinate, and supervise the testing, and documentation of the results for new and existing components and trucks in all product lines. Develop and document application- specific test plans.
Creates, modifies, and uses analytical tools and experimental methods.
Orders experimental hardware for evaluation.
Provides test instructions to laboratory technicians and advises laboratory personnel of instrumentation needs.
Reviews and analyzes experimental and analytical results.
Composes monthly progress reports and specifications and/or contract proposals.
Presents periodic oral project reviews.
Documents completed projects in written reports.
Maintains technical expertise in areas of specialization by reading literature, joining societies, attending conferences, writing technical papers, etc.
Trains other engineers in areas of expertise and specialization.
Participates in technical and industry associations.
Manages projects conducted internally and at outside companies.
Maintains clean and safe work area.
Maintains files of correspondence, technical information, and experimental or analytical results.
Exchanges information with colleagues.
Required background experience and skills:

MS degree or BS degree in electrical, computer, or mechanical engineering.
2-4 years of previous work experience with electronic components or Ph.D. in electrical engineering.
Good oral, presentation, and written communication skills.
Knowledge of the basic principles of combustion engines.
Proficient PC skills including word processing and spreadsheet software.
Experience with hydraulic, pneumatic, and mechanical systems.
Familiarity with electronic component and software testing.
Experience with instrumentation for making electrical, mechanical, thermodynamic, noise, and emissions measurements.
Knowledge of engine controls, dynamics, vibration, and acoustics.
Interpersonal skills.
Analytical skills.
Preferred but not required:

Automotive Industry Experience
Top must haves:

2+ years of engineering experience
Completed education in electrical, computer, or mechanical engineering
Additional Information:

Location: Portland
Duration: 2 year contract
 
Job Number: 5662
Job Location: Portland, ORE
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 2 years
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: OLSA RESOURCES INC
Attention: Dina
Address: 3485 NE JOHN OLSEN AVE
City, State: HILLSBORO, OR 97124
Phone: 503/608-7895
Fax Phone: 503/608-7896
Website: www.olsaresources.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer / wiring harness - Clearance CA

Description:
1302-1 Electrical Engineer
Work Location: Palmdale, CA
Status: Open

Qty: 2
Desired Start Date: 4/30/2019
End Date: 9/27/2019

Job Description:
Designs and tests Electrical system, components, equipment, and networks for Military air vehicle. The applicant must have extensive experience in Wiring Harness Design, Build, and Test. Considered a Subject Matter Expert (SME) in the area of Harness Design and Build. Experience with System Integration of Hardware in a simulation test environment is desired. Able to evaluate electrical wiring and harness assembly diagram for build and integration. Duties include creating wiring diagrams and harness assembly diagrams, resolving wiring/integration related issues between the various avionics/electrical systems, validating/interpreting/troubleshooting/resolving any wiring related issues, performing technical checks to ensure wiring document correctness, providing engineering sign-off on all new/revised manufacturing wiring documents, Termination Aids (TAs) and Wire Harness Master (WHM) sketches. Candidate will be part of a team effort to develop wiring from design to installation, to include interfacing between Electrical Wiring Design and Production/Manufacturing to ensure wiring requirements flow from design to installation are processed in accordance to Aero Specifications. Make real-time design modification to resolve issues, and update design documents to reflect changes. Able to work in a Shop (Prototype) environment and with interacting with technicians.


Candidate will work first shift

Level: Level 3: BS+9-15 YRS or MS+7-13 YRS
Pay Rate: $70.00 Hourly
 
Job Number: JE-1302-1S5
Job Location: Palmdale, CA
Rate: 70/hr max
Per Diem: no
Duration: 6 months +
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer Design 2 / no clearance / URGENT CA

Description:
Electrical Engineer Design 2 (Job Number: 19006187)

Number of Openings: 1

Location(s): United States-California-Manhattan Beach


Shift: 1st Shift

Target Start Date: Apr 29, 2019

Target End Date: Apr 24, 2020

Pay Rate: $42 top $44/hr
Location: Manhattan Beach, CA
Work Schedule: 9/80
Duration: 1 Year

NEED CANDIDATES IMMEDIATELY - PLEASE RUSH!

Job Description:

The Ground Systems Development department is seeking Digital Test Set and FPGA developers who are familiar with development and design of digital test systems including PCB, digital drawers, and FPGA design, experience with schematic capture and Xilinx Vivado FPGA design tools, and experience with a variety of digital/analog commercial test equipment. The primary assignment is to develop test sets for space based electronics ranging in size/complexity from modules to units to subsystems.
Candidates will have effective written and verbal communication skills and must be able to clearly present technical approaches and summaries. Candidates must be able to work without appreciable direction.

Basic Qualifications:

- 2 Years with Bachelors in Science; 0 Years with Masters; 0 Years with PhD.

- Demonstrated proficiency using digital test equipment

- Demonstrated proficiency developing digital electronics
 
Job Number: JK-1747
Job Location: Manhattan Beach, CA
Rate: 42-44/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer III

Description:
Our client is looking for an Electrical Engineer 3 to work in Tulsa, Oklahoma! Apply today with your most recent resume and if you meet the qualifications a recruiter will be in contact with you!

The ideal candidate for this position will perform the following tasks:
* Design modifications for special mission aircraft. Such modifications typically include the design of electrical power distribution systems, developing electrical loads analysis, wiring diagrams and harness fab drawings.
* Utilize experience with cockpit avionics upgrades, navigation and communications systems and integration to meet FAA or Military flight safety standards.
* Analyze the impact to the host platform that the design changes cause and use this analysis during the design phase to ensure that the overall impact to airworthiness is minimized.
* Effectively communicate as part of a multi-disciplinary team working together to ensure that the aircraft systems contribute to the overall success of the program.
* Knowledge of the airworthiness process is a must and the ability to iterate design approaches with the cognizant airworthiness authorities is critical.

Mandatory Qualifications and Experience:
* 5-8 years BS in Aerospace Engineering, Mechanical Engineering or a related field or 3-6 years MS Degree in a related field or have 10 years relevant experience.
* Must be comfortable working with standard MS Office tools as well as have demonstrated experience on a Solid Modeling CAD system and Product Lifecycle Management system.
* Must be US Citizens and either have or be able to obtain and maintain a Secret level clearance.
* Must have good communication skills and be able to work any shift, weekends and overtime, as required.
* Travel, up to 15%, or as required.

Desired Qualifications and Experience:
* A working knowledge of FEA and experience with NX/TeamCenter is preferred

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129788
Job Location: Tulsa, OK
Duration: 6 months
Start Date: 03/04/2019
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical FPGA Design Engineer

Description:
Description:

" Develop FPGAs for space-based applications

" Understand, develop, and document requirements for space-grade FPGA designs

" Perform FPGA Design: Architecture development, algorithm implementation, synthesizable VHDL code generation

" Perform FPGA Design Verification: Testbench development, scripting, VHDL simulation

" Perform FPGA Design Implementation: Constraint driven FPGA Synthesis, place and route, static timing analysis

" Generate design review and deliverable documentation including: review packages, block diagrams, interface control documents, test procedures, requirements verification matrices, and test plans/procedures.

" Conduct design peer reviews at various phases in the development process



Required Experience:

" BS Degree Electrical Engineering or Computer Engineering with 2+ years of relevant experience

" Thorough understanding of VHDL, the FPGA design process and the tools used to generate the FPGA designs



Desired Skills:

" Experience with Questasim, Synopsys Synplify, Xilinx FPGA tools, Microsemi FPGA tools

" Experience building FPGAs with difficult timing and/or difficult routing constraints

" Experience with high speed memory and/or high speed SERDES interfaces

" Experience with Analog to Digital (AD) and Digital to Analog (DA) interfaces

" Proficiency managing source code using revision control

" Self-motivated individual with the ability to work and communicate effectively in a team environment



Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.
 
Job Number: 19-07063
Job Location: Rochester, NY
Rate: 0.0USD/Hour
Per Diem: SPLIT
Overtime: YES
Duration: 2019-09-06 00:00:00.0
Start Date: 2019-03-04 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Sebastian Hernandez
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Manufacturing Engineer

Description:
The ideal candidate will be creative, energetic, flexible, possess a positive attitude and be eager to apply and expand their engineering skills in a highly ethical manner while supporting the Integrated Product Development Team model.

The candidate will have overall accountability to ensure products are manufactured to meet engineering requirements, program budgets, and program schedules through performing the following specific activities: •Mentoring Manufacturing Engineering Associates on instilling a Design to Cost mind-set and work to stream line the delivery of manufacturing assembly documentation as well as its use by our lab personnel.
•Developing the specific methods and instructions for manufacture and/or assembly of products.
•Determining manufacturing feasibility to: •Identify potential fabrication problems,
•Draw attention to special fabrication requirements and
•Ensure the latest developments in manufacturing technology are considered in the design phase.

•Establish design inherent cost targets which reflect hardware target cost.
The Manufacturing Engineer: •works with the design team to ensure that the proposed design is within the allowable cost.
•participates in cost proposals by estimating Manufacturing Engineering tasks, tooling costs and developing manufacturing plans.
•establishes touch labor cost targets on shop/lab activities as requested.
•defines tool and gauge requirements.
•provides the necessary input and support to the tool design group.
•ensures adequate tools and gauges are available when needed in the process.
•works with the Manufacturing Control Planner to establish the schedule for all Manufacturing Engineering and Assembly efforts.
•provides support to all activities during the fabrication of the initial unit (first article) of each specific design to resolve processing problems.
•reviews and implements engineering changes to determine feasibility, producibility and delta cost impact.
•determines, implements and leads process improvement opportunities, business unit initiatives and corrective actions.
•leads efforts to understand non-conformances and reduce Cost of Poor Quality.
•leads 3P events, Value Stream Mapping events, and the implementation of Process Certification.

Education / Certifications •BS in Electrical Engineering, Manufacturing Engineering, Industrial Engineering or a Technology Degree.
•5+ years of experience required.
Experience/Qualifications •Experience in determining manufacturing methods and writing manufacturing assembly documentation in order to assemble high quality, highly engineered hardware.
•Background courses in electrical design and/or manufacturing are desired.
•Knowledge of Lean Manufacturing and special processing, such as IPC-J-STD-001E/ES, NASA-STD-8739.1, and NASA-STD-8739.4, is desirable.
•Strong knowledge of Continuous improvement methods (ACE or the DMAIC model) and demonstrated use of their tools is desirable
•Knowledge of Microsoft Office Suite (Word, Excel, PowerPoint, Outlook, etc.) required.
•Basic knowledge of harnessing (wires/cables, crimping, etc.) and circuit boards (components, layout, etc.) preferred.
 
Job Number: 1910099480
Job Location: Windsor Locks, CT
Overtime: possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Stephanie Spolzino
Address: 50 FOUNDERS PLAZA STE 304
City, State: EAST HARTFORD, CT 06108
Phone: 860/289-2643
800 Phone: 866/636-4723
Fax Phone: 800/556-5284
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Manufacturing Engineer

Description:
Electrical Manufacturing Engineer

Windsor Locks, CT
$36.50/hr
12 month W2 contract
First Shift
*MUST BE A U.S. CITIZEN*
**NO RELOCATION COMPENSATION / NO PER DIEM SPLIT**

*Job Description
The ideal candidate will be creative, energetic, flexible, possess a positive attitude and be eager to apply and expand their engineering skills in a highly ethical manner while supporting the Integrated Product Development Team model in which he or she will have overall accountability to ensure products are manufactured to meet engineering requirements, program budgets, and program schedules through performing the following specific activities: Mentor Manufacturing Engineering associates on instilling a Design to Cost mind-set and work to stream line the delivery of manufacturing assembly documentation as well as its use by our lab personnel. Develop the specific methods and instructions for manufacture and/or assembly of products.

Determine manufacturing feasibility to: Identify potential fabrication problems, Draw attention to special fabrication requirements and Ensure the latest developments in manufacturing technology are considered in the design phase. Establish design inherent cost targets which reflect hardware target cost.

The Manufacturing Engineer works with the design team to insure that the proposed design is within the allowable cost. Participate in cost proposals by estimating Manufacturing Engineering tasks, tooling costs and developing manufacturing plans. Establish touch labor cost targets on shop/lab activities as requested. Define tool and gage requirements. Provide the necessary input and support to the tool design group. Ensure adequate tools and gages are available when needed in the process. Work with the Manufacturing Control Planner to establish the schedule for all Manufacturing Engineering and Assembly efforts.

Provide support to all activities during the fabrication of the initial unit (first article) of each specific design to resolve processing problems. Review and implement engineering changes to determine feasibility, producibility and delta cost impact. Determine, implement and lead process improvement opportunities, business unit initiatives and corrective actions. Lead efforts to understand non-conformances and reduce Cost of Poor Quality. Lead 3P events, Value Stream Mapping events, and the implementation of Process Certification.

*Education / Certifications
BS in Electrical Engineering, Manufacturing Engineering, Industrial Engineering or a Technology Degree.
5+ years of experience required.

*Experience/Qualifications
-Experience in determining manufacturing methods and writing manufacturing assembly documentation in order to assemble high quality, highly engineered hardware.
-Background courses in electrical design and/or manufacturing are desired.
-Knowledge of Lean Manufacturing and special processing, such as IPC-J-STD-001E/ES, NASA-STD-8739.1, and NASA-STD-8739.4, is desirable.
-Strong knowledge of Continuous improvement methods (ACE or the DMAIC model) and demonstrated use of their tools is desirable.
-Basic knowledge of harnessing (wires/cables, crimping, etc.) and circuit boards (components, layout, etc.) preferred.
 
Job Number: 10604
Job Location: Windsor Locks, CT
Rate: 36.50
Per Diem: No
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Manufacturing Engineer

Description:
The ideal candidate will be creative, energetic, flexible, possess a positive attitude and be eager to apply and expand their engineering skills in a highly ethical manner while supporting the Integrated Product Development Team model in which he or she will have overall accountability to ensure products are manufactured to meet engineering requirements, program budgets, and program schedules through performing the following specific activities: Mentor Manufacturing Engineering associates on instilling a Design to Cost mind-set and work to stream line the delivery of manufacturing assembly documentation as well as its use by our lab personnel. Develop the specific methods and instructions for manufacture and/or assembly of products. Determine manufacturing feasibility to: Identify potential fabrication problems, Draw attention to special fabrication requirements and Ensure the latest developments in manufacturing technology are considered in the design phase. Establish design inherent cost targets which reflect hardware target cost. The Manufacturing Engineer works with the design team to insure that the proposed design is within the allowable cost. Participate in cost proposals by estimating Manufacturing Engineering tasks, tooling costs and developing manufacturing plans. Establish touch labor cost targets on shop/lab activities as requested. Define tool and gage requirements. Provide the necessary input and support to the tool design group. Ensure adequate tools and gages are available when needed in the process. Work with the Manufacturing Control Planner to establish the schedule for all Manufacturing Engineering and Assembly efforts. Provide support to all activities during the fabrication of the initial unit (first article) of each specific design to resolve processing problems. Review and implement engineering changes to determine feasibility, producibility and delta cost impact. Determine, implement and lead process improvement opportunities, business unit initiatives and corrective actions. Lead efforts to understand non-conformances and reduce Cost of Poor Quality. Lead 3P events, Value Stream Mapping events, and the implementation of Process Certification.
*Education / Certifications
BS in Electrical Engineering, Manufacturing Engineering, Industrial Engineering or a Technology Degree.
5+ years of experience required.
*Experience/Qualifications
Experience in determining manufacturing methods and writing manufacturing assembly documentation in order to assemble high quality, highly engineered hardware. Background courses in electrical design and/or manufacturing are desired. Knowledge of Lean Manufacturing and special processing, such as IPC-J-STD-001E/ES, NASA-STD-8739.1, and NASA-STD-8739.4, is desirable. Strong knowledge of Continuous improvement methods (ACE or the DMAIC model) and demonstrated use of their tools is desirable.

Additional Job Details:
Electrical M.E. *Job Description The ideal candidate will be creative, energetic, flexible, possess a positive attitude and be eager to apply and expand their engineering skills in a highly ethical manner while supporting the Integrated Product Development Team model in which he or she will have overall accountability to ensure products are manufactured to meet engineering requirements, program budgets, and program schedules through performing the following specific activities: Mentor Manufacturing Engineering associates on instilling a Design to Cost mind-set and work to stream line the delivery of manufacturing assembly documentation as well as its use by our lab personnel. Develop the specific methods and instructions for manufacture and/or assembly of products. Determine manufacturing feasibility to: Identify potential fabrication problems, Draw attention to special fabrication requirements and Ensure the latest developments in manufacturing technology are considered in the design phase. Establish design inherent cost targets which reflect hardware target cost. The Manufacturing Engineer works with the design team to insure that the proposed design is within the allowable cost. Participate in cost proposals by estimating Manufacturing Engineering tasks, tooling costs and developing manufacturing plans. Establish touch labor cost targets on shop/lab activities as requested. Define tool and gage requirements. Provide the necessary input and support to the tool design group. Ensure adequate tools and gages are available when needed in the process. Work with the Manufacturing Control Planner to establish the schedule for all Manufacturing Engineering and Assembly efforts. Provide support to all activities during the fabrication of the initial unit (first article) of each specific design to resolve processing problems. Review and implement engineering changes to determine feasibility, producibility and delta cost impact. Determine, implement and lead process improvement opportunities, business unit initiatives and corrective actions. Lead efforts to understand non-conformances and reduce Cost of Poor Quality. Lead 3P events, Value Stream Mapping events, and the implementation of Process Certification. *Education / Certifications BS in Electrical Engineering, Manufacturing Engineering, Industrial Engineering or a Technology Degree. 5+ years of experience required. *Experience/Qualifications Experience in determining manufacturing methods and writing manufacturing assembly documentation in order to assemble high quality, highly engineered hardware. Background courses in electrical design and/or manufacturing are desired. Knowledge of Lean Manufacturing and special processing, such as IPC-J-STD-001E/ES, NASA-STD-8739.1, and NASA-STD-8739.4, is desirable. Strong knowledge of Continuous improvement methods (ACE or the DMAIC model) and demonstrated use of their tools is desirable. Knowledge of Microsoft Office Suite (Word, Excel, PowerPoint, Outlook, etc.) required. Basic knowledge of harnessing (wires/cables, crimping, etc.) and circuit boards (components, layout, etc.) preferred.
 
Job Number: 48019
Job Location: Windsor Locks, Ct
Duration: 12 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Rick Nussbaum
Address: 7310 RITCHIE HWY STE 400
City, State: GLEN BURNIE, MD 21061-3092
800 Phone: 866/277-3343
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Power Generation and Distribution Engineer

Description:
• Electrical Power Generation and Distribution Engineer will be responsible for developing the subsystems requirements, architectures, roadmaps, and overall development of the subsystem from concept through certification.
• The candidate must be a subject matter expert in the relevant subsystem and have a thorough technical understanding of development of the subsystem.
• The candidate will be knowledgeable of FAR 25 certification requirements for the subsystem and subsystem components.
• Electrical Power Generation and Distribution Engineer will be responsible for the design of the relevant subsystems of the AS2 supersonic business jet to meet market and certification requirements.
• The successful candidate will have a commanding and fundamental understanding of how to design, analyze, and certify the relevant subsystem and have expert level knowledge of system integration into the aircraft.
• The candidate should be regarded as the technical subject matter expert for the relevant subsystem.

Basic Qualifications:
• BS degree or higher in mechanical/aerospace engineering.
• Minimum of seven years senior level technical experience in Electrical Power Generation and Distribution design and certification required.
• Experience with design and certification of FAR 23 or FAR 25 subsystems required.
• Strong technical, interpersonal, communication, administrative, and presentation skills. Demonstrated leadership in solving technical challenges.
• Significant experience on aircraft design teams, from concept through certification, in a collaborative multi-discipline team environment.
 
Job Number: 42-007
Job Location: Reno, NV
Rate: DOE but very competitve
Per Diem: YES
Overtime: YES
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical harness/cable engineer

Description:
Seeking senior electrical harness/cable engineers. The candidate will mentor, coach and lead lesser experience engineers providing knowledge transfer to aid in growing our next generation of engineers.

Other job responsibilities may include
• Provides guidance in the development of cable/wire harness detail and assembly designs.
• Provides guidance in the development of electrical product detail, assembly and installation designs.
• Provides direction used by multiple teams to analyze complex results and performs design reviews.
• Provides guidance in engineering design disposition on discrepancies. Defines processes, specifications and procedures that support the design and manufacture of electrical commodities.
• Provides direction used by multiple teams to develop complex statements of work, metrics, schedules and budgets.
• Directs the technical review of supplier capabilities to facilitate source selection.
• Directs the development of functional and physical design requirements to ensure complete integrated design architecture.
• Works under consultative mentorship

Education/experience:
BDegree and typical experience in engineering classification: Bachelor's 14 or more years' experience, Master's with 12 or more years' experience or PhD with 9 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.

Active U.S. Security Clearance is A Plus
Previous experience in the defense or aerospace industry
Previous experience in Electrical Harness/Cable/Wire Design and Routing
 
Job Number: Harness_OK
Job Location: Oklahoma City,, OK
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic System Design & Analysis

Description:
Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Education/experience:
Bachelor's degree and typically 5 or more years' experience in an engineering classification or a master’s degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: ESDE_OK
Job Location: Oklahoma City, OK, OK
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic/Electrical Design & Analysis Engineer III - (Oklahoma City, OK)

Description:
Several openings in Oklahoma City.

Works with customers to develop and document complex electronic and electrical system requirements. Analyzes and translates requirements into system, hardware and software designs and interface specifications. Tests and validates to ensure system designs meet operational and functional requirements. Monitors supplier performance to ensure system integration and compliance with requirements. Solves problems concerning and provides other support of fielded hardware and software over the entire product lifecycle. Researches specific technology advances for potential application to company business needs.

Bachelor's degree and typically 5 or more years' experience in an engineering classification or a Master's degree with typically 3 or more years' experience in an engineering classification or a PhD degree with experience in an engineering classification. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.
 
Job Number: EEOK
Job Location: Oklahoma City, OK
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electronic/Electrical Designer II & III - (WA)

Description:
Develops, maintains and releases electrical/mechanical drawings, systems designs or engineering documentation and data by applying company, industry and governmental standards. Using design tools prepares documentation and incorporates design changes. Creates, develops and maintains systems designs by preparing design layouts, modifying existing designs and assisting in identifying design discrepancies. Supports engineering system design and functionality validation activities. Develops and maintains product installation designs and part designs ranging from simple to moderately complex. Coordinates activities and products with internal partners and external suppliers. Works under general direction.

The Electrical Engineering Technical Designer will be supporting primarily BDS and BCA programs and may need to support in an office setting as well as a factory and flight line setting. Overtime will be required (10-15%).

CATIA V5

Prefer: AA degree in related discipline and typically 3 or more years of related experience or an equivalent combination of education and experience.
 
Job Number: EEDesignerTukwila
Job Location: Tukwila, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 6+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrophysics Engr/Scien 3

Description:
Electrophysics Engr/Scien 3

Location: Oklahoma City, OK
Duration: 6 months

Description:
OKC Electromagnetic Effects Group is seeking experienced electromagnetic effects engineer. The candidate will mentor and coach lesser experience engineers providing knowledge transfer to aid in growing our next generation of engineers. The candidate will provide engineering and technical decisions for Commercial Airplanes (737, 767, 787 and 777) diverse projects. Main area of focus will be to review supplier and partner qualification data to ensure compliance to client and FAA EME requirements and to provide engineering and technical decisions for client Military Aircraft, Military Derivative Aircraft and Rotorcraft (AEW&C, AWACS, C-32/C-40, E-4B, VC-25A, KC-767, E-6, AC-130U, B-1B, B-2, B-52, V-22, and others) and diverse projects. Assignments will provide an excellent opportunity to learn aircraft electromagnetics effect on the various platforms.

Assignments may deal with:
- Reviewing supplier and partner qualification data
- Nuclear Hardening analysis and test
- EMI/C analysis and test
- EMSEC/TEMPEST analysis and test
- HIRF/Lightning analysis and test
- RF Co-Site analysis
- Antenna Coupling analysis
- Electrical Power Quality and Load analysis
- Test Automation for aircraft modifications.

Other job responsibilities may include:
- Develops and validates requirements for various communication, sensor, electronic warfare and other electromagnetic systems and components
- Develops and validates electromagnetic requirements for electrical\electronic systems, mechanical systems, interconnects and structures
- Develops architectures to integrate systems and components into higher level systems and platforms
- Performs trade studies, modeling, simulation and other forms of analysis to predict component, interconnects and system performance and to optimize design around established requirements
- Defines and conducts tests to validate performance of designs to requirements
- Manages appropriate aspects of supplier and partner performance to ensure compliance to requirements
- Provides support to products throughout their lifecycle by developing root-cause analysis of anomalies and other engineering support as requested
- Supports project management by providing work statement, budget, schedule and other required inputs and by reporting project status
- Writes appropriate sections of proposals to support development of new business
- Works under general mentorship.

Skill Code: 62Q-Electromagnetic Compatibility

Education / Experience:
Degree and typical experience in engineering classification: Bachelor's and 5 or more years' experience, Master's degree with 3 or more years' experience or PhD degree with experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry. ABET is the preferred, although not required, accreditation standard.


Please share updated resume at ravi@iconma.com if interested.
 
Job Number: 19-03720
Job Location: Oklahoma City,, OK
Per Diem: Available
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Ravi Kumar
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/234-6123
800 Phone: 866/914-9724
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineer Circuit Design 2 CA

Description:
Engineer Circuit Design 2 (Job Number: 18009388)

Number of Openings: 1

Location(s): United States-California-Redondo Beach


Shift: 1st Shift

Target Start Date: May 20, 2019

Target End Date: May 15, 2020

Pay Rate: $40 to $41/hr

Work Schedule: 9/80

Duration of Assignment: Up to 1 year



Recent BS graduate to work on implementation of digital logic for space applications. Assignments vary based on individual interest and background in the fields of digital communications, signal processing, network routing and switching protocols, and computer architectures. Activities include VHDL design of ASIC and FPGA, verification via simulation and emulation, synthesis, and timing analysis. Thorough understanding of digital logic design. Study courses include digital communication, signal processing, network routing and switching protocols, or computer architectures. Experience with C/C++, VHDL, or Verilog. Experience with commercial CAD tools (simulation, synthesis, and place-and-route). Hand-on lab experience is highly desired. Must have good interpersonal skills and able to work well in a team environment. Must have good oral and written communication skills.


Basic Qualifications:

Bachelor’s Degree in Electrical Engineering from an accredited university plus 2 years of experience or a Master’s Degree in Electrical Engineering plus 1 years of experience.
 
Job Number: MB-1719
Job Location: Redondo Beach, CA
Rate: 41/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineer Circuit Design 3 CA

Description:
Engineer Circuit Design 3 (Job Number: 18009447)

Number of Openings: 1

Location(s): United States-California-Redondo Beach


Shift: 1st Shift

Target Start Date: May 6, 2019

Target End Date: May 1, 2020

Pay Rate: $50 to $51/hr

Work Schedule: 9/80

Duration of Assignment: Up to 1 year



The qualified candidate will be an experienced digital design engineer who will work on multi-million gate ASIC and FPGA developments in the areas of digital communications, signal processing, network routing and switching applications, or embedded processors for air and space applications. Responsibilities include but are not limited to:



- Conceptual design

- Circuit Design

- Architecture development,

- VHDL coding

- Design verification

- Integration & test.


Hand-on lab experience is highly desired. Must have good interpersonal skills and able to work well in a team environment. Must have good oral and written communication skills.


Basic Qualifications:

Bachelors of Science in Electrical or Computer Engineering

- 5 years of experience in digital logic design and/or verification

- Thorough understanding of high reliability ASIC and FPGA verification
methodologies and processes


Preferred Qualifications:

Master’s Degree in Electrical Engineering
 
Job Number: MB-1718
Job Location: Redondo Beach, CA
Rate: 51/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineer Circuit Designer 4 CA

Description:
Engineer Circuit Designer 4 (Job Number: 19005125)

Number of Openings: 1

Location(s): United States-California-San Diego


Shift: 1st Shift

Target Start Date: Apr 29, 2019

Target End Date: Oct 25, 2019

Pay Rate: $68 to $72/hr

Work Schedule: 9/80

Duration of Assignment: Approx 6 month

Job Description:


Qualified applicant to support electronics modernization effort. The SOW include performing detail electrical circuit design, circuit level analysis, support qualification testing, preparing ATP and QTP and support electrical circuit and LRU manufacturing.


Basic Qualifications:

9+ years experience with Electrical Engineering Bachelor’s Degree or an equivalency of 17 years plus High School Diploma.

Digital, analog and power circuit design experience
•Experience with OrCAD/pspice
•Experience with PCB troubleshooting
•Experience with PCB layout and routing
•Strong verbal and written communications



Preferred Qualifications:

Working knowledge of engineering practices/procedures regarding electronic components
 
Job Number: MB-1733
Job Location: San Diego, CA
Rate: 72/hr max
Per Diem: no
Duration: 6 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineer Level 4

Description:
Our client is looking for a level 4 Engineer to work in Savannah, GA! Apply today with your most recent resume and if you meet the qualifications a recruiter will be in contact with you!

The ideal candidate for this position will perform the following tasks:
* Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues
* Is consulted extensively by associates and others as a recognized authority within the field
* Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities
* Approach to problem solving balances technical product, cost and schedule
* Works without appreciable direction; work is reviewed from a long-range perspective for desired results
* Exercises latitude in determining technical objectives of assignments, and makes decisions and recommendations that are recognized as authoritative
* Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product
* Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft. Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations.
* Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis.
* Knowledgeable with Part 25 aircraft requirements and some familiarity with Part 135 requirements.
* Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam.
* Design Engineer will be required to liaison with the shop on engineering issues.
* Design assignments Item Installation Analysis, Similarity Analysis and Mount Configuration along with any other required items.
* Design engineer will employ design standards consistent with company standards and accepted design methods are executed under the direction of the Mechanical Engineering Group Head to meet the manufacturing schedule.
* Additional responsibilities are out lined in the job description and as assigned by the Mechanical Engineering Group Head.

Mandatory Qualifications and Experience:
* Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
* Ten (10) yrs in specific technical discipline or fifteen (15) yrs broad eng experience in several technical disciplines with Bachelors.
* Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.

Desired Qualifications and Experience:
* Mechanical Design in Aircraft Cabin Interiors, CATIA, Smarteam, AutoCAD, Microsoft Project, Excel and Power Point.

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129972
Job Location: Savannah, GA
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineer Structural Analysis 4 / 2nd shift / Fighter Aircraft experience preferred / No Clearance Required CA

Description:
164135
1715 Engineer Structural 4 (Job Number: 19000785)

Number of Openings: 1

Location(s): United States-California-Palmdale


Shift: 2nd Shift

Target Start Date: Feb 11, 2019

Target End Date: Feb 7, 2020

Pay Rate: $70 to $73/hr

Work Schedule: 2nd shift - 4/10

Duration of Assignment: Up to 1 year



General:

Analyzes, researches and develops structural engineering specifications involving metals, non-metallic or composite structural materials for product design, or operation of product to include structures, mechanical, hydraulic, electrical and electronics, power plant, armament, heating and ventilating, equipment and maintenance designs. Analyzes damage tolerance, durability, design allowables and structural modeling. Specific structural engineering specialties may include dynamics and loads, stability and stress fatigue and thermal analysis. Tools utilized may include CATIA, IDEAS, ProEngineer and a variety of software applications.



Specific:

Successful candidate will be a member of the F-35 Center Fuselage IPT, supporting the assembly of the Center Fuselage at Palmdale Aircraft Integration Center. Candidate should be experienced in structural analysis methods, including large scale NASTRAN modelling and analysis of metallic and advanced composite structures. Desired skills include familiarity with detailed structural analysis procedures, FEM analysis, PATRAN, layout sizing, structural integrity evaluations, durability and damage tolerance (DaDT) of aircraft structures, material allowables development, acoustic/dynamic evaluations, and weight control. Responsible for liaison support as well as analysis of parts and installation of these parts in the JSF Center Fuselage. Experience should include the development and first-hand assembly line support of aircraft structural parts and assemblies utilizing metallic/composite material (launch vehicle experience considered)


Basic Qualifications:

9 Years with Bachelors in Science; 7 Years with Masters; 4 Years with PhD.


Preferred Qualifications:

Composites, DaDT(Metallics), and MRB support.

Fighter Aircraft experience preferred.
 
Job Number: MB-1715
Job Location: Palmdale, CA
Rate: 73/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Engineering Designer IV

Description:
Location: Canoga Park, CA
Rate: $65.00 - $75.00/HR
Duration: 2 years contract
Shift: 9/80 Schedule (OT Possible)
Per Diem Split: Yes (if eligible)

**Candidates must be U.S. Citizens**
**Permanent residents are NOT eligible for this role**
**Dual citizens are NOT eligible for this role. Also, candidates with a current non-U.S. passport in addition to a U.S. passport are NOT acceptable**

** Candidates should have experience specifically with flight electronics packaging for space applications**
**Candidates should have design experience of electro-mechanical packaging or cable harnesses**

Duties:
- Candidates should have experience specifically with flight electronics packaging for space applications
- Candidates should have design experience of electro-mechanical packaging or cable harnesses
- Perform engineering design tasks related to space electronics based products.
- Duties include electronics packaging of complex space electronics, defining electrical-mechanical interface, capture design in CREO and to fully participation in team-development environment.
- Support the design and development of electronics hardware, working closely with Electrical Engineers, Printed Wiring Board (PWB) designers, electrical systems, manufacturing, thermal and stress analysts. Position will support various different Electric Propulsion programs

Skills:
- Proficiency in the use of CREO PDM/Link, Windchill and Pro/Cabling application.
- Proficiency in generating drawings is required.
- Familiarity with aerospace/defense engineering design and production processes and disciplines.
- Experience in Electronic Packaging Design, Drawing Generation, GD&T, Tolerance Stack-up analysis.
- Extensive experience in PRO-E/CREO CAD design are a must (NX CAD design preferred).
- Advanced oral and written communication skills expected which will be used to coordinate and collaborate with local Operations, as well as distant-located Engineering organizations and customers.
- Able to create, read and understand engineering drawings, electrical schematics and wiring diagrams.

Education:
- Requires a Bachelor's degree in Mechanical Engineering and 10 years of direct experience with packaging power electronics. Willing to accept experience in lieu of Bachelor's Degree.
 
Job Number: 10532
Job Location: Canoga Park, CA
Rate: $65.00 - $75.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: 2 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Entry Level Design Engineer, prefer Creo Experience (002)

Description:
This is a full time, 1 year contract located in York, PA*



We are recruiting for an entry level contract Mechanical Design Engineer who has fundamental knowledge of aerospace/DoD mechanical packaging design. In this position they will be using Pro/E Creo.



Much of the work may be in mechanical and parts design, preparing items for machining and fabrication, welding, and assembly. A good knowledge of manufacturing processes will be used daily on manufacturing site.



Required:

*Straight out of college or 2 years’ experience in military mechanical design
*Able to work with some electrical as well as mechanical parts

*Able to work with Excel and creating large spreadsheets.

*Experience creating and reading engineering drawings, schematics.

*Able to apply and gain a clearance after start.
*4 year engineering degree



Pluses:

*Familiar with Pro/E or Creo and GD&T (ASME 14.5-2009)

*Vehicle designing experience a plus
 
Job Number: 002
Job Location: York, PA
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
FPGA Engineer

Description:
Job Description:
Description/Comment:
This position is in an engineering organization focused on satellite communications systems, including secure and protected systems.

Responsibilities of this position include:
* Applying advanced technical principles and concepts to develop FPGA designs based on defined system architectures.
* Developing Firmware Requirements Specifications for FPGA designs.
* Performing implementation tradeoffs, and presenting, explaining, and refining design approaches in consultation with other product stakeholders.
* Determining and following the course of action necessary to implement finished products based on the selected design approach.
* VHDL-based RTL and functional design, analysis and verification.
* Integrating FPGA designs into hardware and supporting system integration, test, and qualification.
* Design documentation and design maintenance.

Required Skills:
* A minimum of 8 years professional engineering experience
* Proficiency with VHDL for configuring FPGAs
* Experience with FPGA and CPLD devices and development tools from Xilinx, Altera, and Lattice.
* Proficiency with functional verification test flow using VHDL with assertions.
* Proficiency with Modelsim and/or Questa Sim for functional verification
* Experience incorporating third party IP into FPGA designs, including PCIe and high speed Ethernet.
* Experience working in a system integration environment, verifying requirements and identifying and correcting defects.
* Possess, or able to obtain, Secret security clearance and COMSEC clearance.

Desired Skills:
* Experience with satellite communications systems.
* Familiarity with security aspects of protected satellite communications systems.
* Linux scripting experience.
* Experience using DOORs tool for requirements capture and flow of requirements through multiple levels of specifications.
* Familiarity with code coverage tools for evaluating test coverage.
* Familiarity with version control tools such as Clearcase or GitHub
* Good communication and presentation skills.
* Experience and/or interest in project team leadership, including technical, budget, staffing, and schedule management
* Six Sigma/process improvement experience.

Required Education:
Bachelor’s degree in Electrical Engineering or Computer Science

Desired Education:
Master’s Degree in Electrical Engineering or Computer Science.
 
Job Number: MA48067
Job Location: Marlborough, MA
Rate: 75.00
Per Diem: Possible
Overtime: Possible
Duration: 6 months
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Bill Carriveau
Address: 7310 RITCHIE HWY STE 400
City, State: GLEN BURNIE, MD 21061-3092
800 Phone: 866/277-3343
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
FPGA Engineer

Description:
Description/Comment:
The Electrical Engineer will develop FPGA designs for all major vendors and device families including: Xilinx, Altera, Lattice, and Microsemi. Designs are implemented using VHDL and support the following type of applications: gigabit serial interfaces, Radio Frequency (RF) and Electro-Optical (EO) Digital Signal Processing (DSP), control loops, data links, embedded processing, and processor interfaces.
As a configurable logic designer you will work with circuit card designers and systems engineers to develop requirements, select parts, architect the device, perform code development, simulations, and place and route. Designs are verified against requirements using both directed test and constrained random methodologies and are supported from requirements definition through integration and test, and eventually production. Configuration management of the design is maintained throughout the entire design process.

Required Skills:
* Ability to apply advanced digital design knowledge and principles to complex designs
* Proficient at using a hardware description language such as VHDL, Verilog, or System Verilog
* Proficient with FPGA timing constraints and timing closure
* Proficient with digital toolsets and backend vendor tools
* Proficient with a software or scripting language
* Ability to take a design from concept to production
* Ability to collaborate and work with other disciplines
* Ability to solve integration issues and determine root cause
* Self-directed - Able to complete tasks with minimum oversight
* Strong written and verbal communications
* Cross discipline knowledge such as software, digital signal processing, etc.

Desired Skills:
* Digital Signal Processing and simulation using MATLAB or equivalent
* Experience applying fixed-point analysis and conversion of floating point algorithms
* Experience with embedded systems design utilizing Microblaze, NIOS, and ARM processors
* Knowledge of encryption/decryption standards such as AES, RSA, ECC, etc.
* Knowledge of industry standard interfaces and protocols such as AXI, PCIe, SPI, I2C, etc.
* Integration experience with FPGA based systems
* Knowledge of ASIC design flow and process
* Familiarity with advanced verification techniques
* Ability to manage and trace requirements.

Required Education:
Bachelor of Science in Electrical Engineering, Science or Mathematics with a minimum of 4 years of relevant experience
 
Job Number: AZ47864
Per Diem: Possible
Overtime: Possible
Duration: 12 months
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Bill Carriveau
Address: 7310 RITCHIE HWY STE 400
City, State: GLEN BURNIE, MD 21061-3092
800 Phone: 866/277-3343
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
FPGA Engineer

Description:
Our client is looking for a FPGA Engineer to work in Orlando, Florida! Apply today with your most recent resume and if you meet the qualifications a recruiter will be in contact with you!

The ideal candidate for this position will perform the following tasks:
* Develops, designs, verifies, and documents Application-Specific Integrated Circuits (ASIC) and Field Programmable Gate Arrays (FPGA) development.
* Determines architecture design, logic design, and system simulation.
* Assignments include the analysis of all aspects from high-level design to synthesis, place and route, and timing and power utilization.
* Typically uses specialized equipment to establish operation data, conduct experimental tests, and evaluate results.
* Support Circuit card designer with trade studies.
* Develop and release design requirement specifications for product.

Mandatory Qualifications and Experience:
* Bachelor’s degree or higher in Electrical or Computer Engineering with a preferred concentration in FPGA design and Digital Signal Processing through relevant experience.
* Familiarity with video system design, synchronization, image processing operations and display formats.
* Must have a Secret security clearance with 5 year background re-investigation

Desired Qualifications and Experience:
* Experience with Verilog, C/C++, MathLab/Simulink, System Verilog languages; Synopsis Synplify, Synopsis VCS, NCSim, ChipScope tool sets desired.
* Experience with Xilinx and Altera Part family internal FPGA fabric and IP and model based compilers desired.
* FPGA design experience with tools noted above.
* Previous experience related to aerospace design techniques is desired

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129767
Job Location: Orlando, FL
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Facility Maintenance Planner

Description:
Location: Vandenberg AFB (Lompoc), CA
Rate: $30.50/HR
Duration: 1 year contract

****MUST be a U.S. Citizen or Permanent Resident****

Requirements:
1. Seeking an organized detailed oriented individual who can work successfully in a dynamic launch site environment.
2. Seeking an individual who can work well alone or as part of a team.
3. Required to be proficient in a computer related field. Information Technology, Programming, or other Database Management field.
4. Looking of 3+ yrs of experience.
5. EAM / 7i experience desired.
6. Database management and experience preferred.
7. Attention to detail and consistency are pivotal for proper database management.

Job Description:
1. Member of our clients Operations Engineering and Infrastructure (E&I) organization, whose responsibilities include the design, operation, maintenance, and system evolution of all launch site facility and facility support systems, including Environmental Control & HVAC, Facility Electrical/Power, Communications and Data Networks, and Structural/Mechanical ground systems.

Maintenance Planning Role:
1. Identifies, coordinates, plans, schedules and executes preventive and predictive maintenance for facilities equipment, tooling and machinery.
2. Recommends modifications of existing equipment and facilities and the possible replacement of existing equipment, which will improve operations and reduce maintenance costs.
3. Ensures maintenance of records to document safe and efficient operation of equipment and facilities.

Responsibilities:
1. Responsibilities include planning and scheduling of facility maintenance tasks associated with Launch sites at VAFB.
2. Communicates with Engineering and Technicians to ensure accurate and timely maintenance.
3. Provides work orders to execute on-time support required for testing/launch processing activities for 100% Mission Success.
4. Supports commonality of Maintenance planning processes across all product lines and work locations.
5. Provides accurate metrics of maintenance activities to support management reviews and presentations. 6. Support and manage electronic files to ensure security and integrity of the work being generated.
7. Assists Engineering with procedure creation and/or update existing documents to meet organizational goals.
8. Must have excellent communication, writing, and oral skills and have the ability to work with a wide range of team members including company represented personnel, customers, and subcontractors.
9. Proficient knowledge in Microsoft Office Suite.

Education:
1. Requires a bachelor’s degree and 2 - 6 years of experience
 
Job Number: 10625
Job Location: Lompoc, CA
Rate: $30.50/HR
Per Diem: Split Possible
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Flight Test Engineer

Description:
We are seeking Flight Test Engineers to join us in Moses Lake, WA to support an exciting new aircraft project. The ideal candidate in this position will be involved in a range of airplane flight test operations including but not limited to:

Support engineering with test plan creation.
Discuss, write and review test cards and test hazard analysis
Support and/or lead test preparation activities, test execution, post-test activities
Support and/or lead pre and post flight briefings.
Monitor live flight data, either via telemetry or onboard flight test aircraft
Process, analyze, and draw conclusions from post flight data.
Make assessments to ensure safety of flight determination.
Document flight test design problems for long term fix.
Generate and review appropriate restrictions/ limitations documentation.
Update monitoring screens for specific testing and/or restriction monitoring.


Requirements


5+ years relevant work experience related to experimental flight test operations.
Experience with FAA Part 25 or Part 23 certification, flight and ground test planning and test conduct, and test data analysis.
Strong ability to analyze results
Communicate clearly and effectively verbally and in writing (summaries, documents, reports, presentations).
Proficient in working with Microsoft Office applications including Word, Excel, and PowerPoint. Ability to quickly learn to operate new software.
Bachelor degree or equivalent combination of work experience. Focus in Electrical, Mechanical or Aerospace Engineering, Mathematics, Physics or related.
 
Job Location: Moses Lake, WA
Per Diem: Possible
Overtime: Yes
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Calderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Ground Product Design Engineer 4 / CREO / Electromechanical design URGENT CA

Description:
162029

Ground Product Design Engineer 4 (Job Number: 18000483)

Number of Openings: 1

Location(s): United States-California-Redondo Beach


Shift: 1st Shift

Title: Ground Product Design Engineer 4

Rate: 62 - $67/hour

Location: Redondo Beach, CA

Positions: 1

Duration: 1 Year

Shift: 1st Shift - 9/80

We are currently seeking a Design Engineer to support test set development for EPS-R. Position will require selected candidate to create, modify and maintain drawings of electrical test equipment which includes, but not limited to custom test units, custom drawers and test cables. The selected candidate will be responsible for drawing documentation, design updates and working with mechanical and electrical engineers. Selected candidate must be adept at working independently as well as in a collaborative team environment. Candidate should be able to communicate well verbally and written, and be attentive to detail.


Basic Qualifications:

•Minimum 5 years of experience working in industry
•Experienced in ProE/CREO
•Knowledge with electro-mechanical design/products


Preferred Qualifications:

•AutoCAD
 
Job Number: MB-1657ATO
Job Location: Redondo Beach, CA
Rate: 67/hr max
Per Diem: no
Duration: 1 year
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
HIL Test Engineer

Description:
Responsibilities
Execute software regression and system level verification tests in accordance with established test plans on NI HIL system.
Support regression and verification testing and collaborate with electrical and software engineers to identify, define, and resolve issues that are identified during software development and integration.
Interpret data logs to identify issues for engineering investigation.
Create test automation scripts wherever possible and create detailed test reports to review with development engineers

Required Experience & Skills:
Bachelor's degree in engineering required
8 to 10 years of engineering experience required
Ability to understand autonomous technologies, machine systems and design test cases for the systems
Previous experience with computer applications and software related to engineering field
Testing/Validation experience dSPACE Hardware-In-The-Loop (HIL) experience HP Quality Center experience
Knowledge of technologies used in Autonomy - Perception, Planning, and Positioning systems
Linux experience also a plus
ARi is not currently hiring individuals for this position who now or in the future require sponsorship for employment visa status; however, ARi offers many job opportunities which can be found through our employment website at https://www.ariglobalsolutions.com/careers/us-jobs/.
 
Job Number: 51105
Job Location: Oshkosh, WI
Rate: Open
Input Date: 11/14/2020
Firm Name: ARI GLOBAL SOLUTIONS
Attention: Hope Rhodes
Address: 221 ALTOFER LN
City, State: EAST PEORIA, IL 61611
Phone: 309/691-2533
Website: www.ariglobalsolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Electrical Engineer High Voltage Electrical Vehicle

Description:
One of the world’s leading heavy truck manufacturers is looking for an Electrical Engineer to join their team! You will be involved in the engineering of cutting-edge technology for future trucks.

Responsibilities:

• Power architecture and power distribution from vehicle battery packs to the electric motor
• Calculations to verify engineering design, and communicates findings with CAD designers.
• Development of prototype electrical systems.
• Review, interpret, modify and update electrical schematics
• Develop and maintain technical specifications and documentation.
• Electrical cable and gauge sizing.
• Proper ground distribution.
• Validate electrical control systems.
• Analyze design and provide solutions to ensure integrity
• Identifies issues, performs analysis and diagnostics.

Education Requirements;

• Bachelor’s degree in Electrical Engineering
• 1-3 years of electrical engineering experience. Recent grads are welcome.
• Recent grads are welcome.

Required Skills;

• Familiarity with components, electrical wiring, circuit breakers.
• Electrical power distribution of AC and DC systems.
• Knowledge or experience of low, medium and high voltage power distribution.
• Ability to interpret and modify electrical schematics.
• Knowledgeable of electrical load calculation and circuit protection
• knowledge of automotive electrical system, diagnostics and troubleshooting.
• knowledge protocols such as CANbus.
• Experience with Simulink
 
Job Number: 5626
Job Location: Portland, ORE
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 12+ months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: OLSA RESOURCES INC
Attention: Dina
Address: 3485 NE JOHN OLSEN AVE
City, State: HILLSBORO, OR 97124
Phone: 503/608-7895
Fax Phone: 503/608-7896
Website: www.olsaresources.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
INSTRUMENT AND CONTROLS ENGINEER

Description:
INSTRUMENT AND CONTROLS ENGINEER



Ponca City Refinery:

The Ponca City Refinery in Oklahoma has a crude oil processing capacity of 203 MBPD. Both foreign and domestic crudes are delivered by pipeline from Canada, Oklahoma, Kansas and Texas. The refinery's facilities include fluid catalytic cracking, delayed coking and hydro-desulfurization units that enable it to produce high ratios of gasoline and diesel fuel from crude oil. Finished petroleum products are shipped by truck, rail and Company-owned and common carrier pipelines to markets throughout the mid-continent region. Operations are run 24 hours per day, seven days per week.



Major Products: Gasoline, Diesel, Jet fuel, Kerosene, Heating oils.

Capacities: Crude oil and Other Charge Capacity: 203,000 BPD; Gasoline Production Capacity: 110,000 BPD; Distillate Production Capacity: 85,000 BPD.


 

Description:

The Instrument Engineer is responsible for the design, engineering, and implementation of process instrumentation and control systems from initial conception through commissioning. The Instrument Engineer is responsible for design and engineering that provide the most reliable and cost- effective instrument and control systems. The Instrument Engineer also provides consulting services to process engineers in developing process design specifications, develops operating and training manuals for mechanical and process personnel, and participates in training operating personnel. The Instrument Engineer writes purchase and construction specifications and writes and performs Factory Acceptance Tests procedures. The Instrument Engineer specifies, procures, and configures Distributed Control Systems, and field hardware such as transmitters, control valves, and electronic transmission systems.

 

The Instrument Engineer utilizes American Petroleum Institute (API) Standards, Instrumentation, Systems and Automation Society (ISA) Standards, National Fire Protection Association (NFPA), and ConocoPhillips standards and practices to perform the above functions..

 

Responsibilities may include:



  • Instrumentation and controls capital projects engineering including front-end-loading, cost estimates, specifications and oversight of detailed design.

  • Identifying areas where instrumentation/controls can improve plant operation and profitability

  • Owning and leveraging the application of instrumentation safety standards, mechanical integrity, design, and maintenance.

  • Functioning as Project Engineer on instrumentation and control system capital projects and large instrumentation maintenance projects

  • Using documentation systems and processes which maintain accurate instrumentation equipment and control system information and drawings

  • Supporting criticality assessments of the plant's instrumentation equipment

  • Designing Refinery Safety Instrumented Systems (SIS) design and maintenance

  • Performing Safety Integrity Level (SIL) analysis and engineering upgrades of safety instrumented systems

  • Assisting in developing strategic plans for implementing/upgrading instrumentation and control systems

  • Serving as a technical resource to properly identify new and existing equipment specifications and proper operating parameters/ranges for instrumentation equipment

  • Overseeing application and interpretation of National Electric Code and other applicable city, state, federal codes and standards (e.g. NPRA, NFPA, API, ISA)

  • Performing audits of instrumentation installations and process control loops including failure analysis inspections

  • Interacting with engineering and construction contractors, both on- site and off- site

  • Review instrument changes for Management of Change approval.  Ensure that changes are properly designed and documented.

  • Assist with training and development of operators, technicians, and engineers in order to high-grade skill sets with respect to the instrument asset base.

  • Support DCS operator interfaces with respect to information presentation and abnormal situation management.

  • Facilitate increases in unit availability, increases in product quality, and reductions in refinery maintenance costs by developing and maintaining reliability systems for the plant's instrumentation assets. Provide leadership for implementation of these reliability systems.


QUALIFICATIONS

 

Basic/Required:  



  • Must possess a bachelor's degree in Electrical Engineering, Chemical Engineering, Mechanical Engineering or Engineering Physics or equivalent

  • 3+ years of experience in a refinery and/or chemical industry

  • 3+ years of direct experience in process instrumentation design and/or maintenance.


 

Preferred:  



  • Knowledge of the Ponca City Refinery instrumentation asset base.

  • Proficient in use of SAP - PM & PS Modules

  • Demonstrated knowledge and experience designing and supporting Safety Instrumented Systems (SIS), Safety Instrumented Functions (SIF), Layers of Protection Analysis (LOPA), and High Integrity Pressure Protection Systems (HIPPS).

  • Proficient in computer applications such as Word, Excel, Outlook, and MS Projects

  • Expert knowledge of field instrumentation and controls through design and field installation experience

  • Demonstrated knowledge and experience on Programmable Logic Controllers (PLC) and Distributed Control Systems (DCS), preferably on Client TDC3000 / Experion and FSC Safety Managers.

  • Demonstrated knowledge on Process Analyzers, Chromatographs, and Gas Monitoring Systems.

  • Demonstrated knowledge and use of SPI (InTools) database and specifications

  • Strong analytical skills including statistical analysis

  • Strong interpersonal and written communication skills

  • Ability to lead execution of work and resolve issues in a team environment

  • Accepts ownership, is accountable, and delivers on commitments


Ability to work in cross-functional teams

 


 




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.

 
 
Job Number: 18-50643
Job Location: Ponca City, OK
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Start Date: 2018-12-04 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Kristy Thompson
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Instrumentation and Electrical Designer

Description:
Morson International currently has a fantastic opportunity for an Instrumentation and Electrical Designer to join an industry leading employee owned Automation Company. This is a rare opportunity to join an award winning company in the Oil & Gas sector. The company is looking to add a Instrumentation and Electrical Designer to their team due to increasing workload.

Key Attributes:

Must demonstrate the ability to outline the electrical requirements necessary for an electrical construction package and estimate design and construction hours to complete. Must demonstrate the ability to interface with the client (engineers, shop personnel, inspection staff, and construction contractors), direct Junior staff and CAD resources to meet the defined project needs and schedules. Must be able to estimate electrical construction and installation costs. Must understand client MOC, plant electrical and drawing standards. Must display the ability to direct others in order to accomplish specific objectives. Must understand and apply all client safety policies.



Technical Capabilities:



Specific knowledge of the NEC to properly size and layout electrical distribution equipment and devices
Understanding one-line, schematic, three-line and wiring diagrams and being able to check the consistency between the drawings.
Proficiency in design, layout, and checking of electrical drawings in CAD and ensures the technical correctness of the drawing by using the applicable job standards, specifications, area classifications, NEC and NFPA 70E, correct materials and any other specific guidelines set forth for the job.
Properly size motor feeders, motor starters, feeder breakers, MCC, transformers, circuit breakers and panels (120 volts through 5kV)
Understand short circuit requirements, perform voltage drop calculations, connected load calculations
Develop detailed motor connection drawings and equipment control stations and develop conduit routing in existing facilities
Generate detailed/complex control panel wiring and layout plot plans and installation details.
Generate new or modify existing conduit routing drawings, installation details.
Ability to outline the electrical requirements necessary for an electrical construction package, and estimate cost with some supervision.
API & IEEE standard familiarity is a plus
Be comfortable with engineering field work and frequent site visit.
Job Requirements
Job Experience:

10+ years’ experience with the design and layout of electrical distribution systems for heavy industrial, oil and gas, refining, pipeline facilities, and bio-pharm plants. Must have field experience in the related field environment and be familiar with the use and care of personal safety equipment.
 
Job Location: Long Beach, CA
Rate: $40-$50/Hour
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: MORSON INTERNATIONAL
Attention: Stephanie Vu
Address: 10800 GOSLING RD BOX 131565
City, State: SPRING, TX 77393
Phone: 713/636-2532
Fax Phone: 713/636-2523
Website: www.morsonusa.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Interior Design Engineer

Description:
Position Purpose:
Guides successful completion of major engineering programs, or conceives research in areas of considerable scope and complexity supporting the development and production of Gulfstream products and services.

Unique Skills:
• Design Engineer/Mechanical Engineering for Aircraft Cabin Interiors in Completions Engineering.
• Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft.
• Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations.
• Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis.
• Experience with Part 25 aircraft requirements and some familiarity with Part 135 requirements.
• Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam.
• Design Engineer will be required to liaison with the shop on engineering issues.
• Design assignments include but are not limited to: -Item Installation Analysis and Similarity Analysis
• Configuration Analysis Design engineer will employ design standards consistent with company standards and accepted design methods executed under the direction of the Mechanical Engineering Group Head to meet aircraft delivery schedule.

Education and Experience Requirements:
• Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
• Ten (10) yrs in specific technical discipline or fifteen (15) yrs broad eng experience in several technical disciplines with Bachelors.
• Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.


Principle Duties and Responsibilities:
• Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues
• Is consulted extensively by associates and others as a recognized authority within the field
• Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities
• Approach to problem solving balances technical product, cost and schedule
• Works without appreciable direction; work is reviewed from a long-range perspective for desired results
• Exercises latitude in determining technical objectives of assignments, and makes decisions and recommendations that are recognized as authoritative
• Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product
• Perform other duties as assigned.
• Advanced degree in engineering, or related curriculum preferred
• The level of technical skill and abilities appropriate for this grade will be established by each discipline
 
Job Number: 24-335
Job Location: Savannah, GA
Rate: $58 - $63
Per Diem: YES
Overtime: YES
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Brandon
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Interior Design Engineer

Description:
Unique Skills:
-Aircraft Cabin Interiors - Mech Design -Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft. Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations. -Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis. -Knowledgeable with Part 25 aircraft requirements and some familiarity with Part 135 requirements. -Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam. Design Engineer will be required to liaison with the shop on engineering issues. -Design assignments Item Installation Analysis, Similarity Analysis and Mount Configuration along with any other required items. Design engineer will employ design standards consistent with company standards and accepted design methods are executed under the direction of the Mechanical Engineering Group Head to meet the manufacturing schedule. -Additional responsibilities are out lined in the job description and as assigned by the Mechanical Engineering Manager. -Preferred skills: Mechanical Design in Aircraft Cabin Interiors, CATIA, Smarteam, AutoCAD, Microsoft Project, Excel and Power Point.

Education and Experience Requirements:
Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Ten (10) yrs in specific technical discipline or fifteen (15) yrs broad engineering experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.

Essential Functions: 1. Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues 2. Is consulted extensively by associates and others as a recognized authority within the field 3. Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities 4. Approach to problem solving balances technical product, cost and schedule 5. Works without appreciable direction; work is reviewed from a long-range perspective for desired results 6. Exercises latitude in determining technical objectives of assignments, and makes decisions and recommendations that are recognized as authoritative

Additional Functions: 1. Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product Perform other duties as assigned.

Other Requirements: 1. Advanced degree in engineering, or related curriculum preferred

The Structures Company is an AA/EOE.
 
Job Number: 285
Job Location: GA
Rate: DOE
Per Diem: Yes
Duration: 9+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Design Engineer

Description:
Location: Savannah, GA
Rate: $58.00 - $63.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
- Design Engineer/Mechanical Engineering for Aircraft Cabin Interiors in Completions Engineering.
- Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft.
- Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations.
- Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis.
- Experience with Part 25 aircraft requirements and some familiarity with Part 135 requirements.
- Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam.
- Design Engineer will be required to liaison with the shop on engineering issues.

Design assignments include but are not limited to:
- Item Installation Analysis
- Similarity Analysis
- Configuration Analysis Design engineer will employ design standards consistent with company standards and accepted design methods executed under the direction of the Mechanical Engineering Group Head to meet aircraft delivery schedule.

Education and Experience Requirements:
Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Ten (10) yrs in specific technical discipline or fifteen (15) yrs broad eng experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.

Position Purpose:
Guides successful completion of major engineering programs, or conceives research in areas of considerable scope and complexity supporting the development and production of company products and services.

Principle Duties and Responsibilities:
Essential Functions: 1. Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues 2. Is consulted extensively by associates and others as a recognized authority within the field 3. Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities 4. Approach to problem solving balances technical product, cost and schedule 5. Works without appreciable direction; work is reviewed from a long-range perspective for desired results 6. Exercises latitude in determining technical objectives of assignments, and makes decisions and recommendations that are recognized as authoritative

Additional Functions: 1. Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product Perform other duties as assigned.

Other Requirements: 1. Advanced degree in engineering, or related curriculum preferred
The level of technical skill and abilities appropriate for this grade will be established by each discipline.
 
Job Number: 10564
Job Location: Savannah, GA
Rate: $58.00 - $63.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Interior Design Engineer

Description:
Design Engineer/Mechanical Engineering for Aircraft Cabin Interiors in Completions Engineering.

Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft.

Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations.

-Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis.

-Experience with Part 25 aircraft requirements and some familiarity with Part 135 requirements.

-Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam.

-Design Engineer will be required to liaison with the shop on engineering issues.

Design assignments include but are not limited to:

-Item Installation Analysis

-Similarity Analysis

-Configuration Analysis

Design engineer will employ design standards consistent with company standards and accepted design methods executed under the direction of the Mechanical Engineering Group Head to meet aircraft delivery schedule.
 
Job Number: 143870
Job Location: Savannah, GA
Rate: 58.00-63.00
Per Diem: yes
Overtime: yes
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: HI-TEC PROFESSIONAL SOLUTIONS INC
Attention: Anne Cameron
Address: P O BOX 965280
2886 SANDY PLAINS RD

City, State: MARIETTA, GA 30066
Phone: 770/575-5855
Fax Phone: 770/575-5856
Website: www.hi-tecsolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Interior Design Engineer

Description:
Interior Design Engineer for Aircraft Cabin Interiors in Completions Engineering. Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft. Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations. -Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis. -Experience with Part 25 aircraft requirements and some familiarity with Part 135 requirements. -Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam. -Design Engineer will be required to liaison with the shop on engineering issues. Design assignments include but are not limited to: -Item Installation Analysis -Similarity Analysis -Configuration Analysis Design engineer will employ design standards consistent with company standards and accepted design methods executed under the direction of the Mechanical Engineering Group Head to meet aircraft delivery schedule.

Education and Experience Requirements:
Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Ten (10) yrs. in specific technical discipline or fifteen (15) yrs. broad end experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs. for Masters and 4 yrs. for PhD in fields applicable to this job.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer (Completions, Planning)

Description:
Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.

Unique Skills:
• Solumina, SAP, CATIA V5, SmarTeam, Electrical Engineering, Planning
Principle Duties and Responsibilities:
• Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed)
• Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components).
• Author detailed work instructions that optimize manufacturing process efficiency and opportunity for zero defects with functional Operations Engineer.
• Author DRDI/DMT rework instructions to support effective corrective actions.
• Author MBOM in SAP/Solumina/Corridor (as applicable) to ensure all parts defined by Engineering are installed during the assembly/installation process.
• Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems.
• Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements.
• Investigate and assist in preparing justification for equipment and facility requests.
• Perform productibility studies from engineering drawings to identify opportunities to enhance manufacturing ability to meet requirements.
• Participate in Design for Manufacturing assessments with Engineering.
• Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed.
• Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures.
• Incorporate Lean Manufacturing Methodology into existing and future processes.
• With minimal supervision, lead/coordinate the implementation of projects between multiple organizations.
• Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader.
• Support all Safety, Sustainability and Ergonomic Initiatives.
• Aid in development and maintenance of Business Continuity Plan.

Other Requirements:
• Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality.
• Initiate and maintain accurate daily/weekly activity status based on work output, as required.
• Perform other duties as assigned.

Education and Experience Requirements:
• Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
• Eighteen months Manufacturing Engineering, planning, or related technical experience.
 
Job Number: 24-307
Job Location: Savannah, GA
Rate: $52.50
Per Diem: Yes
Overtime: Yes
Duration: 1 Year Plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Matt
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Engineer II

Description:
Location: Savannah, GA
Rate: $52.50/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Unique Skills:
SOLUMINA_CATIA_SAP_MANUFACTURING_MECHANICAL_ELECTRICAL ENGINEERING.

Education and Experience Requirements:
Bachelor's Degree in Mechanical, Manufacturing Engineering or related curriculum required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Eighteen months Manufacturing Engineering, planning, or related technical experience.

Position Purpose:
Under minimal supervision, independently prepare, conduct, and evaluate analyses for planning improvements and problem solving for manufacturing issues (production methods and procedures) in a specific function (including layout, production flow, tooling and production equipment, material fabrication, assembly methods and planning) in a Production or Post-Production environment.

Principle Duties and Responsibilities:
Essential Functions: 1. Perform technical studies of a complex nature, conduct analysis of operations (existing or proposed). Prepare a report of recommendations for equipment needed and correct methods of manufacturing (including sequence of operations and detailed methodology of complex assemblies and installations as well as detail components). 2. Author detailed work instructions that optimize manufacturing process efficiency and opportunity for zero defects with functional Operations Engineer. 14. Author DRDI/DMT rework instructions to support effective corrective actions. 15. Author MBOM in SAP/Solumina/Corridor (as applicable) to ensure all parts defined by Engineering are installed during the assembly/installation process. 16. Assist in make-or-buy proposals and recommend corrective actions for areas of responsibility; evaluate vendors, attend vendor negotiations, and assist in resolving vendor problems. 3. Conduct research, investigation, and analysis in connection with assigned planning or other assigned projects, to ensure conformance to requirements. 4. Investigate and assist in preparing justification for equipment and facility requests. 5. Perform productibility studies from engineering drawings to identify opportunities to enhance manufacturing ability to meet requirements. Participate in Design for Manufacturing assessments with Engineering. 6. Apply statistical methods to estimate future manufacturing requirements and potential; make necessary computer inputs and generate data or reports as needed. 7. Perform audit of co-workers output based on defined requirements and procedures. Must demonstrate knowledge of ME policies and procedures. 8. Incorporate Lean Manufacturing Methodology into existing and future processes. 10. With minimal supervision, lead/coordinate the implementation of projects between multiple organizations. 11. Apply Lean and Six Sigma principles to manufacturing methods as a team member or leader. 12. Support all Safety, Sustainability and Ergonomic Initiatives. 13. Aid in development and maintenance of Business Continuity Plan.

Additional Functions: 1. Ensure Quality policies are being practiced; make recommendations and implement procedures to improve quality. 2. Initiate and maintain accurate daily/weekly activity status based on work output, as required. Perform other duties as assigned.

Other Requirements:
1. Proven knowledge of Lean and/or Six Sigma principles.
2. Must be knowledgeable about Engineering configuration requirements, tooling, quality control, plant safety, blueprint reading, and shop math.
3. Ability to operate computerized equipment, such as personal computers, CADAM, CATIA, Solumina, SAP, Corridor.
4. Lean Six Sigma Greenbelt Certification or higher preferred.
 
Job Number: 10156
Job Location: Savannah, GA
Rate: $52.50/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Planner 3-Mesa

Description:
Manufacturing Planner 3
9 months
Mesa, AZ

Description:
Develops, implements and maintains various manufacturing plans, Bill of Materials, work instructions and illustrations to define and document as-built configuration. Develops manufacturing concepts and strategies to support business objectives. Develops and integrates suppliers, processes, materials, data and technology to meet manufacturing and delivery requirements. Reviews and approves supplier data to ensure manufacturing related requirements are met. Develops tooling requirements based on manufacturing and engineering requirements. Manages tools from initial design to end of use disposition. Investigates and resolves manufacturing related issues. Performs producibility assessments for part or product designs. Provides producibility input to design, manufacturing processes and manufacturing technologies to meet cost and schedules. Develops integrated work statements and sequencing of events to support delivery commitments. Drafts, updates and reviews processes and procedures to support business and regulatory agency requirements. Works under general direction.

Education / Experience:
AA degree in related discipline and typically 3 or more years of related experience or an equivalent combination of education and experience.

Position Comments:
This position will support the Mesa Electrical Center of Excellence and will be responsible for providing electrical (wire harness and electrical panel fabrication) ME planning support for both military and commercial platforms. Previous wire harness and electrical panel fabrication is desired.

Skill Code:
67B-Electrical/Electronics
 
Job Number: 18-17095
Job Location: Mesa, AZ
Per Diem: split
Overtime: possible
Duration: 9+ months
Start Date: 4/1/19
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Constance Whitcomb
Address: 859 STEPHENSON HWY
City, State: TROY, MI 48083
Phone: 843/955-9221
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Planner III - Electrical/Electronics (Everett, WA)

Description:
Several openings in Everett, WA

Responsibilities include the following:
•Plans and leads department/organization processes for developing, implementing and maintaining various manufacturing plans, Bill of Materials, work instructions and illustrations to define and document as-built configuration.
• Leads investigation and resolution of complex or systemic manufacturing related issues.
• Develop work statements and sequencing of events to support delivery commitments.
• Leads development of complex work statements and sequencing of events to support delivery commitments.
• Develops and documents new job practices, techniques and standards
• Provide ship side support, research and shop assistance.
The successful candidate will have the following education, experience, skills and capabilities:

Required Qualifications:
• Minimum 6 years of experience working in a manufacturing engineering or a production environment
6 or more years experience using basic Microsoft Office and office computing systems

Preferred Qualifications:
• Experience using Common Manufacturing Execution Systems (CMES)
• WIRS - Wires Information Release System
• PMD/ CAPP II
• IVT - Integration Visibility Tool
• WQCS - Wires Query/Compare Systems

Understanding of wiring and wire routing
Experience with wire bundle fabrication concepts, wire installation, electrical equipment installation and installation of wiring provisions is desirable.
Understanding of the Boeing Production System
The successful candidate will have the following education, experience, skills and capabilities:

Required Qualifications:
• Minimum 6 years of experience working in a manufacturing engineering or a production environment
6 or more years experience using basic Microsoft Office and office computing systems


Prefer an AA Degree or higher, not required
 
Job Number: MFGPlannerEEWA
Job Location: Everett, WA
Rate: OPEN
Per Diem: YES
Overtime: Possible
Duration: 5+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Manufacturing Planner- Electrical

Description:
Manufacturing Planner 3

Location: Everett, WA
Duration: 6+ Months

Description:
Develops, implements and maintains various manufacturing plans, Bill of Materials, work instructions and illustrations to define and document as-built configuration. Develops manufacturing concepts and strategies to support business objectives. Develops and integrates suppliers, processes, materials, data and technology to meet manufacturing and delivery requirements. Reviews and approves supplier data to ensure manufacturing related requirements are met. Develops tooling requirements based on manufacturing and engineering requirements. Manages tools from initial design to end of use disposition. Investigates and resolves manufacturing related issues. Performs producibility assessments for part or product designs. Provides producibility input to design, manufacturing processes and manufacturing technologies to meet cost and schedules. Develops integrated work statements and sequencing of events to support delivery commitments. Drafts, updates and reviews processes and procedures to support business and regulatory agency requirements. Works under general direction.

Education / Experience:
AA degree in related discipline and typically 3 or more years of related experience or an equivalent combination of education and experience.

Position Comments:
This position will support the Mesa Electrical Center of Excellence and will be responsible for providing electrical (wire harness and electrical panel fabrication) ME planning support for both military and commercial platforms. Previous wire harness and electrical panel fabrication is desired.

Skill Code: 67B-Electrical/Electronics
 
Job Location: Everett, WA
Rate: $50
Per Diem: Split
Overtime: Possible
Duration: 6 months
Start Date: Asap
Input Date: 05/01/2023
Last Updated: 08/10/2023
Firm Name: ICONMA LLC
Attention: Mohamed Zoheb
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Phone: 248/602-3856
Website: iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mass Properties

Description:
Minimum 5 years aircraft mass properties experience in product development environment. Strong weight control skills including influencing, accounting, reporting, measuring, risk and opportunity identification for structure and systems. Proficient in weight calculation from engineering drawings and catia v4/v5 models. Experienced in database maintenance. Familiarity with Gulfstream products and design processes desired. Bachelors degree in engineering, a related curriculum, or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. See Other Requirements in Job Description.5 ys in specific technical discipline or 10 yrs broad eng experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs for Masters, 4 yrs for PhD in fields applicable to this job. Job Description • Perform mass properties analysis for production aircraft or production aircraft modified for Special Mission applications. • Update a mass properties accounting database with detail part weight, center of gravity, and inertias. • Perform actual weight and balance measurement of detail parts, assemblies and full aircraft. • Prepare aircraft weight & balance documentation for flight and delivery (W&B Handbook, empty weight, W&B for flight, equipment list) • Track and status structural or system weight versus target weights. • Promote weight reduction opportunities to the responsible structural designers, system designers or stress engineers. • Provide and report to management on Aircraft Weight and Balance status • Coordinate activities to insure weight targets/goals are met What you'll bring to the team: • Apply your education as an Aeronautical, Aerospace, Electrical, Systems, or Mechanical engineer to provide quality mass properties analysis. • Apply people skills to provide optimum lightweight design concepts while working with design team. • Experience with CATIA and SmarTeam is preferred.
 
Job Number: 19-143213
Job Location: Southeast
Rate: $65.00 per hour
Per Diem: Split
Overtime: Possible
Duration: Possible Year
Start Date: 2 Weeks upon confirmation
Input Date: 11/14/2020
Firm Name: AGENTE TECHNICAL
Attention: Alexa Bui
Address: 714 CENTERPARK DR STE 140
City, State: COLLEYVILLE, TX 76034-2852
Phone: 972/415-4545
800 Phone: 866/758-2494
Fax Phone: 866/717-5927
Website: www.agentetech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mass Properties Engineer

Description:
Location: Savannah, GA
Rate: $65.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
- Minimum 5 years aircraft mass properties experience in product development environment.
- Strong weight control skills including influencing, accounting, reporting, measuring, risk and opportunity identification for structure and systems.
- Proficient in weight calculation from engineering drawings and Catia v4/v5 models.
- Experienced in database maintenance.
- Familiarity with Gulfstream products and design processes desired.

Education:
- Bachelors degree in engineering, a related curriculum, or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
- See Other Requirements in Job Description.
- 5 years in specific technical discipline or 10 yrs broad eng experience in several technical disciplines with Bachelors.
- Experience credit considered for related adv degrees limited to 2 yrs for Masters, 4 yrs for PhD in fields applicable to this job.

Job Description:
- Perform mass properties analysis for production aircraft or production aircraft modified for Special Mission applications.
- Update a mass properties accounting database with detail part weight, center of gravity, and inertias.
- Perform actual weight and balance measurement of detail parts, assemblies and full aircraft.
- Prepare aircraft weight & balance documentation for flight and delivery (W&B Handbook, empty weight, W&B for flight, equipment list)
- Track and status structural or system weight versus target weights.
- Promote weight reduction opportunities to the responsible structural designers, system designers or stress engineers.
- Provide and report to management on Aircraft Weight and Balance status
- Coordinate activities to insure weight targets/goals are met

What you'll bring to the team:
- Apply your education as an Aeronautical, Aerospace, Electrical, Systems, or Mechanical engineer to provide quality mass properties analysis.
- Apply people skills to provide optimum lightweight design concepts while working with design team.
- Experience with CATIA and SmarTeam is preferred.
 
Job Number: 10462
Job Location: Savannah, GA
Rate: $65.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Design Engineer

Description:
Location: Savannah, GA
Rate: $58.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
- Mechanical Design in Aircraft Cabin Interiors, CATIA, Smarteam, AutoCAD, Microsoft Project, Excel and Power Point.
- Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft.
- Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations.
- Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis.
- Knowledgeable with Part 25 aircraft requirements and some familiarity with Part 135 requirements.
- Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam.
- Design Engineer will be required to liaison with the shop on engineering issues.
- Design assignments Item Installation Analysis, Similarity Analysis and Mount Configuration along with any other required items.
- Design engineer will employ design standards consistent with company standards and accepted design methods are executed under the direction of the Mechanical Engineering Group Head to meet the manufacturing schedule.
- Additional responsibilities are out lined in the job description and as assigned by the Mechanical Engineering Group Head.

Education and Experience Requirements:
Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Ten (10) yrs in specific technical discipline or fifteen (15) yrs broad eng experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.

Position Purpose:
Guides successful completion of major engineering programs, or conceives research in areas of considerable scope and complexity supporting the development and production of company products and services.

Principle Duties and Responsibilities:
Essential Functions:
1. Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues
2. Is consulted extensively by associates and others as a recognized authority within the field
3. Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities
4. Approach to problem solving balances technical product, cost and schedule
5. Works without appreciable direction; work is reviewed from a long-range perspective for desired results
6. Exercises latitude in determining technical objectives of assignments, and makes decisions and recommendations that are recognized as authoritative

Additional Functions:
1. Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product
2. Perform other duties as assigned.

Other Requirements:
1. Advanced degree in engineering, or related curriculum preferred.
2. The level of technical skill and abilities appropriate for this grade will be established by each discipline.
 
Job Number: 10603
Job Location: Savannah, GA
Rate: $58.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Design Engineer

Description:
Location: Savannah, GA
Rate: $55.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Job Description:
- Design Engineer/Mechanical Engineering for Aircraft Cabin Interiors in Final Phase Completions.
- Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft.
- Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations.

Required Skills:
- Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis.
- Experience with Part 25 aircraft requirements and some familiarity with Part 135 requirements.
- Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam.
- Design Engineer will be required to liaison with the shop on engineering issues.

Design assignments include but are not limited to:
- Item Installation Analysis
- Similarity Analysis
- Configuration Analysis Design engineer will employ design standards consistent with company standards and accepted design methods executed under the direction of the Mechanical Engineering Group Head to meet aircraft delivery schedule.

Education:
- Bachelors degree in engineering, a related curriculum, or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
- See Other Requirements in Job Description.
- 5 years in specific technical discipline or 10 years broad engineering experience in several technical disciplines with Bachelors.
- Experience credit considered for related adv degrees limited to 2 years for Masters, 4 years for PhD in fields applicable to this job.
 
Job Number: 10428
Job Location: Savannah, GA
Rate: $55.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Design Engineer

Description:
Location: Savannah, GA
Rate: $58.00/HR
Duration: Long Term Contract
Overtime: Possible (x1.5)
Per Diem Split: Yes (if eligible)

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
- Mechanical Design in Aircraft Cabin Interiors, CATIA, Smarteam, AutoCAD, Microsoft Project, Excel and Power Point.
- Design responsibilities primarily involve engineering design of new and derivative versions of interior furnishings and amenities as part of outfitting new aircraft.
- Examples include custom cabinetry such as galleys, lavatories, closets, storage compartments, interior peripheries, water/waste systems, oxygen systems, electrical wire routing, lighting, PSU, cabin liners, ledges/dados, entertainment equipment installations, and seating installations.
- Design engineer will design installations for aforementioned commodities along with necessary airframe modifications to meet load requirements of the interior installation and should have the competency with Weight & Center of Gravity Analysis.
- Knowledgeable with Part 25 aircraft requirements and some familiarity with Part 135 requirements.
- Engineering design work includes the creation of drawings/models using either CATIA V5, or AutoCAD and SmarTeam.
- Design Engineer will be required to liaison with the shop on engineering issues.
- Design assignments Item Installation Analysis, Similarity Analysis and Mount Configuration along with any other required items.
- Design engineer will employ design standards consistent with company standards and accepted design methods are executed under the direction of the Mechanical Engineering Group Head to meet the manufacturing schedule.
- Additional responsibilities are out lined in the job description and as assigned by the Mechanical Engineering Group Head.

Education and Experience Requirements:
Bachelor's Degree in engineering or technical related curriculum applicable to the respective job position required or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job. Ten (10) yrs in specific technical discipline or fifteen (15) yrs broad eng experience in several technical disciplines with Bachelors. Experience credit considered for related adv degrees limited to 2 yrs for Masters and 4 yrs for PhD in fields applicable to this job.

Position Purpose:
Guides successful completion of major engineering programs, or conceives research in areas of considerable scope and complexity supporting the development and production of company products and services.

Principle Duties and Responsibilities:
Essential Functions:
1. Selects appropriate methods, techniques, and evaluation criteria to resolve complex engineering issues
2. Is consulted extensively by associates and others as a recognized authority within the field
3. Anticipates and resolves complex issues in creative and effective ways, developing standards and guides for diverse engineering activities
4. Approach to problem solving balances technical product, cost and schedule
5. Works without appreciable direction; work is reviewed from a long-range perspective for desired results
6. Exercises latitude in determining technical objectives of assignments, and makes decisions and recommendations that are recognized as authoritative

Additional Functions:
1. Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product
2. Perform other duties as assigned.

Other Requirements:
1. Advanced degree in engineering, or related curriculum preferred.
2. The level of technical skill and abilities appropriate for this grade will be established by each discipline.
 
Job Number: 10435
Job Location: Savannah, GA
Rate: $58.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Design Engineer II

Description:
Our client is looking for a Mechanical Design Engineer to work in Grand Prairie, Texas! Apply today with your most recent resume and if you meet the qualifications a recruiter will be in contact with you!

The ideal candidate for this position will perform the following tasks:
* Product Design support to design, develop, and integrate components for a Unmanned Air Vehicle (UAV) system.
* The successful candidate must demonstrate innovative approaches to new design, and will be responsible for performing 3D parametric modeling in the development of solid models, creating and releasing 2D drawings including Interface Control Drawings (ICDs) and Source Control Drawings (SCDs), performing both Geometric Dimensioning and Tolerancing and tolerance stacks analyses, and creating engineering release (ER) documentation.
* Effort will require coordination of design(s) with other mechanical / electrical disciplines.

Mandatory Qualifications and Experience:
* Bachelors of Science degree in Mechanical Engineering with a strong technical background, or 10 years of equivalent experience
* 6-8 years of Computer Aided Design software experience using SolidWorks Software.
* Must be capable of creating and interpreting detail, assembly, and installation type drawings, and have knowledge of the concept of tolerance analysis and Geometric Dimensioning and Tolerancing (GD&T).
* Must have SolidWorks experience and the ability to utilize Microsoft Office tools.

Desired Qualifications and Experience:
* Knowledge and proficiency with a model/drawing data management tool, Windchill.
* A general understanding or experience with manufacturing engineering and fabrication processes.
* Familiarity with Microsoft Office Applications.
* An active DOD Secret Clearance (with background performed within 5-years) is a plus.
* Prior LMC employment / experience is a plus
* Basic EMI shielding knowledge and Rapid prototyping experience (Additive and traditional Manufacturing) is a plus.

The ideal candidate for this position will possess the following skills and characteristics:
* Self started needed capable of working in a dynamic, fast paced, team environment.

Benefits: Visit http://www.teamglobal.com/benefits.html for more details.
 
Job Number: 129991
Job Location: Grand Prairie, TX
Duration: 1 year
Start Date: 05/01/2019
Input Date: 11/14/2020
Firm Name: GLOBAL CONTRACT PROFESSIONALS
Attention: GCP Recruiter
Address: P O BOX 161127
City, State: FORT WORTH, TX 76161-1127
Phone: 817/847-6673
800 Phone: 800/942-2376
Fax Phone: 817/847-9444
Website: www.teamglobal.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Mechanical Engineer

Description:
No sponsorship for this position:

USC, Green Card / TN Visa Holders

Responsibilities

Develop & Design the company’s products using CAD tools based on customer requirements and/or specifications
Work with Program Manager and Project/Systems Engineers to assure product meets customer and compliance requirements
Communicate effective with Electrical Engineers to ensure electronic integrates with the mechanical components.
Work with machine shop and procurement directly to timely produce prototype designs • Update legacy designs to the latest CAD revision and maintain proper drawing configuration.
Communicate with manufacturing engineers to assure efficient production of your designs
Lead and train individuals on the assembly steps.
Professional Requirements

Electro-mechanical design experience including sheet metal, gearing, castings and injection molded plastic design. • Finite element analysis, manufacturing and assembly methods for instrument-level design
BSME with at least 2 to 3 years of pertinent experience
Experience with Electronic Packaging and avionics experience highly desirable.
Parametric Technologies (Pro-E and Creo) proficiency is required • Knowledge of materials and finishes is required
Understanding of lighting and optics is helpful
Position reports to the Vice President of Engineering
Performance will be measured by the Quality of your designs and timely completion of tasks as well as manufacturability
 
Job Location: Exton, PA
Rate: $50/PD Split
Per Diem: YES
Overtime: YES
Duration: 6 mos
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GREENLIGHT PROFESSIONAL SERVICES
Attention: Jason
Address: 319 LITTLETON RD STE 308
City, State: WESTFORD, MA 01886
800 Phone: 877/562-4477
Website: www.gpsnational.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
PWB (Printed Wiring Board) Design Engineer III

Description:
Location: Canoga Park, CA
Rate: $40.00 - $50.00/HR
Duration: 6 month contract

** Candidates must be U.S. Citizens **
** Permanent residents are NOT eligible for this role **
** Dual citizens are NOT eligible for this role **

Duties:
- The primary duty is to generate PWB on AR-provided Altium PWB Design and/or Mentor Graphic Board Station Tools for applications on the RS-25, Next Step, AEPS programs.
- This assignment will require an experienced individual that can work closely with electrical/electronic circuit designers and electro-mechanical designers in a team environment.

Skills:
- Extensive (10+ years) experience in the design, development and generation of PWB drawings.
- Experience in the use of S/W tools associated with PWB design is required and the ability to operate the Altium PWB is mandatory.
- Experience in MENTOR Board Station Design tool is also desirable.

Education:
- BSEE with 5 years of experience or 10+ years of direct industry experience in design and development of Printed Wiring Boards and Circuit Card Assemblies.
 
Job Number: 10281
Job Location: Canoga Park, CA
Rate: $40.00 - $50.00/HR
Per Diem: Split Possible
Duration: 6 mos
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Engineer Software 3 - Clearance / URGENT FL

Description:
Principal Engineer Software 3 (Job Number: 19007337)

Number of Openings: 1

Location(s): United States-Florida-Melbourne


Shift: 1st Shift

Target Start Date: Jun 10, 2019

Target End Date: Jun 5, 2020

Requested Hourly Rate: 55.00

Work Schedule: 9/80

Duration of Assignment: Up to 1 year

Candidates NEEDED ASAP

The Software Engineer will develop, modify and maintain customized or standardized applications using software engineering best practices and standards, and participate in the full life-cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance. Excellent verbal, written and interpersonal skills are required.

The E-2D program is seeking a software engineer to support multiple E-2D programs. Their responsibilities would include:

• Full Lifecycle design, implementation, and unit testing of software
• Work with various sub teams to integrate functionality with other IPTs
• Actively participate in code reviews
• Develop SW products from all phases of SW life cycle.


Basic Qualifications:

Bachelor’s Degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 5 years of software engineering experience OR


Master’s Degree in Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 3 years of software engineering experience


Experience developing software / writing code in C/C++ or Ada

Experience with Linux



Preferred Qualifications:

Bachelor’s or Master’s degree in Computer Engineering, Computer Science, or Electrical Engineering


Strong Problem Solving Skills


Experience in Software Test


Familiarity with Atlassian tool suite and tools like git and Confluence


Familiarity with Agile software development process


DOORS


Eclipse or other integrated development environment (IDE)


Knowledge of Linux systems with basic commands


Familiar with aircraft systems/subsystems architectures (i.e., CNI, vehicle, cockpit, …)


Experience with OpenGL
 
Job Number: JK-1748S
Job Location: Melbourne, FL
Rate: 55/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Software Engineer 3 - Clearance FL

Description:
1740 Principal Software Engineer 3 (Job Number: 19006470)

Number of Openings: 1

Location(s): United States-Florida-Melbourne


Shift: 1st Shift

Target Start Date: May 6, 2019

Target End Date: May 1, 2020
Requested Hourly Rate: 50.00

Pay Rate: $50/hr

Work Schedule: 9/80

Duration of Assignment: Up to 1 year


The Software Engineer will develop, modify and maintain customized or standardized applications using software engineering best practices and standards, and participate in the full life-cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance. Excellent verbal, written and interpersonal skills are required.

The E-2D program is seeking a software engineer to support multiple E-2D programs. Their responsibilities would include:

• Full Lifecycle design, implementation, and unit testing of software

• Work with various sub teams to integrate functionality with other IPTs

• Actively participate in code reviews

• Develop SW products from all phases of SW life cycle.

Basic Qualifications:

Bachelor’s Degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 5 years of software engineering experience OR
Master’s Degree in Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 3 years of software engineering experience
Experience developing software / writing code in C/C++ or Ada
Experience with Linux

Preferred Qualifications:

Bachelor’s or Master’s degree in Computer Engineering, Computer Science, or Electrical Engineering
Strong Problem Solving Skills
Experience in Software Test
Familiarity with Atlassian tool suite and tools like git and Confluence
Familiarity with Agile software development process
DOORS
Eclipse or other integrated development environment (IDE)
Knowledge of Linux systems with basic commands
Familiar with aircraft systems/subsystems architectures (i.e., CNI, vehicle, cockpit, …)
Experience with OpenGL
Length of C/L = 12 months
 
Job Number: MB-1740S
Job Location: Melbourne, FL
Rate: 50/hr
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Software Engineer 3 FL

Description:
Principal Software Engineer 3 (Job Number: 18026677, 18026687, 18026691, 18026697)

Number of Openings: 1

Location(s): United States-Florida-Melbourne


Shift: 1st Shift

Target Start Date: Mar 25, 2019

Target End Date: Mar 20, 2020

Pay Rate: $50/hr

Work Schedule: 9/80

Duration of Assignment: Up to 1 year


The Software Engineer will develop, modify and maintain customized or standardized applications using software engineering best practices and standards, and participate in the full life-cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance. Excellent verbal, written and interpersonal skills are required.

The E-2D program is seeking a software engineer to support multiple E-2D programs. Their responsibilities would include:
• Full Lifecycle design, implementation, and unit testing of software
• Work with various sub teams to integrate functionality with other IPTs
• Actively participate in code reviews
• Develop SW products from all phases of SW life cycle.


Basic Qualifications:

Bachelor’s Degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 5 years of software engineering experience OR
Master’s Degree in Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 3 years of software engineering experience
Experience developing software / writing code in C/C++ or Ada
Experience with Linux




Preferred Qualifications:

Bachelor’s or Master’s degree in Computer Engineering, Computer Science, or Electrical Engineering
Strong Problem Solving Skills
Experience in Software Test
Familiarity with Atlassian tool suite and tools like git and Confluence
Familiarity with Agile software development process


DOORS
Eclipse or other integrated development environment (IDE)
Knowledge of Linux systems with basic commands
Familiar with aircraft systems/subsystems architectures (i.e., CNI, vehicle, cockpit, …)
Experience with OpenGL
 
Job Number: MB-1725S
Job Location: Melbourne, FL
Rate: 48-50/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Printed Wiring Board (PWB) Designer W/ Zuken(736)

Description:
*This is a full-time, 6 month contract, located in Owego, NY*

We are hiring for a Printed Wiring Board/ Circuit Card Designer that is familiar with schematics and part selections. The candidate will be using data sheets and requirements and making final part selections. A successful candidate will have knowledge of digital and analog circuitry and ability to use standard lab equipment such as oscilloscopes, logic analyzers, DVMs, etc.
Required:
*3 years' experience with printed wiring board and circuit card assembly design and schematic creation using Zuken.
*Experience with lab equipment including oscilloscopes, logic analyzers, DVMs, etc.
*Knowledge of digital and analog circuits, design and analysis.
*Bachelor Degree in Electrical Engineering or related field

Desired:
*Zuken experience
 
Job Number: 736
Job Location: Owego, NY
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Project Engineer / IPD Team Lead

Description:
Project Engineer / IPD Team Lead

Location: Windsor Locks, CT
Pay Rate: $48.25/hr
Duration: 1 year contract
Shift: First
**MUST be a U.S. CITIZEN; NO DUAL CITIZENSHIP**
**NO RELOCATION COMPENSATION**

Description:
Project Engineering Integrated Product Development (IPD) Team Lead will provide continuous support of environmental controls for the US Navy. The environmental controls include oxygen generation and CO2 removal for VIRGINIA, OHIO and COLUMBIA classes of submarines. The successful candidate will be working on the support of the qualification and production builds of our many different products. Additionally, the candidate will be responsible for leading the IPD teams consisting of Systems Engineering, Test Engineering, Mechanical/Electrical Design, Supplier Quality, Materials Engineering, Manufacturing Engineering, Material Planners, and Purchasing to drive delivery of these products within the approved budget and schedule. Familiarity with SAP, and company Engineering Change System are a plus. The IPD team lead will report directly to the Program Engineering Manager and will play a critical role in driving project execution while meeting both internal and external customer expectations. This position requires creation and delivery of technical presentations to management and customers.

Additional:
• BA/BS degree in Science, Technology, or Engineering w/ 5+ years of experience is required - Graduate degree preferred.
• 5+ years leading teams and managing projects Prior experience working with or managing multi-disciplinary teams in a fast paced environment
• Excellent verbal, written and interpersonal communication skills
• Experience and familiarity with product qualification, and production build and test (specifically with respect to low volume Navy hardware)
• Natural presence/comfortable presenting to internal and external customers
• Demonstrated command of RRCA methodology and tools
• Experience troubleshooting (specifically with respect to MRB disposition) in troubleshooting problems on the production floor and coming up with creative solutions that maintain product quality while minimizing cost/schedule impact
• Ability to bring team members together and work problems to resolution
• Ability to work independently and as part of a team
• Proven planning, adaptability, organizational and negotiation skills for effective team management
• Ability to anticipate project risks and build contingency plans
• Manage hardware contracts from stages of proposal through delivery, including tracking procurement status, establishing engineering and operations efforts, and oversight of assembly and test progress.
• Interface with both internal operations and engineering functions to facilitate assembly, test, and delivery of hardware.
• Participate in regular reviews with the customer to communicate status of contracts and schedule.
• Provide direction to IPT (Integrated Product Team) for actions on in-process hardware.
• Develop and manage program schedules to drive on-time contract deliveries.
• Participate in contract proposals, including BOE and BOM estimates.
• Utilize Earned Value methodology to forecast program demands and track project completion.
• Process engineering changes to ensure high quality, conforming hardware is being received, built, and delivered to our customers
• Project Management Professional (PMP) certification is a plus.
• US Citizen
 
Job Number: 9992
Job Location: Windsor Locks, CT
Rate: 48.25
Per Diem: No
Overtime: Possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Rex Calkins
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Project Lead - Repair Engineering

Description:
Project Lead - Repair Engineering
Contract-to-hire
Location: Kansas City, MO
Summary:
We are looking for a candidate to lead the engineering team in the accomplishment of repairs for in service aircraft and aircraft in heavy maintenance.
Duties will include providing guidance and helping develop less experienced engineering staff, provide technical coordination/expertise between the engineering team and the customer, and interacting with vendors and internal organizations. Applicant should have relevant airline, MRO, or OEM in-service support experience with proven leadership.
The individual will lead activities of engineering staff at the discretion of Engineering Management to complete tasks accurately while maintaining responsiveness in order to maintain aircraft, component, and engineering program schedules. Other duties will include development of proposals, assisting with planning and hiring, and other Engineering Management tasks.
ESSENTIAL DUTIES AND RESPONSIBILITIES:
• Leads activities of direct Engineering staff at the discretion of Engineering Management to achieve accurate and timely completion of repairs and modifications during maintenance and component overhaul visits.
• Plans, reviews, organizes, and prioritizes engineering tasks to ensure Engineering completes tasks and maintains responsiveness in order to maintain aircraft, component, and engineering program schedules.
• Primary point of contact between customer representatives and/or internal customers to ensure consistent action in accordance with customer requirements.
• Mentor and train Repair Engineers from all levels of experience; identify and grow the expertise and experience of the team.
• Recognize and fully understand the proper use of all applicable customer, OEM, regulatory, and maintenance requirements, and ensure that these are met.
• Identify performance related issues and provides feedback to management.
• Coordinate and assist in implementing new policies and procedures within the Engineering department to help improve efficiency.
• Analyze and substantiate complex repair and modification designs using standard accepted industry practices. Produce technical analysis of repair standards and history for which FAA approval can be obtained to ensure compliance with all appropriate regulatory, customer, OEM, operations, and maintenance requirements.
• Review existing technical data, technical drawings, and previous history for entire airframe structure, mechanical / hydraulic systems and components, engine pylon/struts, and landing gear; in addition, some avionics, indication, lighting, and electrical systems are occasionally reviewed.
• Provide written technical accomplishment instructions for aircraft repairs or modifications to maintenance, customer, and regulatory authorities as necessary.
• Communicate technical details of repair or modification designs through technical writing and computer drafting functions.
• Independently evaluate, select, and adapt situations, and modify techniques, procedures and criteria to solve problems encountered.
• Approve engineering documents within authorized signatory level.
• Assist in interviewing and making hiring recommendations for new Engineering personnel.
• Participate in the development of work statements and hour estimates in support of proposals.
AUTHORITY:
The individual in this position may initiate technical instructions that are substantiated by data acceptable to or approved by the FAA and EASA or equivalent. For technical instruction pertaining to work accomplished on aircraft or components operated under CFR Part 91, 121, and 145, authority is as specified by the air carrier's procedures and/or Repair Station Manual.
SKILLS AND KNOWLEDGE:
• Experienced with OEM airframe, system, and interior design practices.
• Strong working knowledge of MyBoeingFleet and AirbusWorld for OEM datasets.
• Must be adaptable and flexible at all times and apply their knowledge and skills to develop creative solutions to a wide variety of unique design, modification, and repair problems encountered.
• Strong PC skills will include 3D Computer Aided Design proficiency (SOLIDWORKS or AutoCAD preferred), MS Office products (Word, Excel, PowerPoint, Visio and Project), and basic computer drafting skills.
• Ability to accomplish basic stress analysis and substantiation for complex repairs or modifications using standard accepted industry practices and other structural design methodologies.
• Ability to understand regulatory requirements for airworthiness, for the FAA and various foreign authorities (EASA, etc.) and include in engineering documents, drawings and designs.
• Ability to understand and apply the policies / procedures of all customer(s).
• Ability to understand and apply information from Structural Repair Manuals (SRMs), Aircraft Maintenance Manuals (AMMs), Illustrated Parts Catalogs (IPCs), Service Bulletins (SBs), Airworthiness Directives (ADs) and other aircraft reference materials.
• Ability to interpret and use aircraft manufacturing, assembly, and installation drawings.
• Excellent interpersonal and communication (written and verbal) skills with other groups (avionics, certification, Program Management and Maintenance Leads, MRO Facilities and customers) experience strongly preferred.
• Self-motivated and multi-tasker; able to level load own workload.
• Strong time-management, and problem-solving skills.
EDUCATION AND/OR EXPERIENCE:
Bachelor of Science (B.S.) degree in Aerospace, Mechanical, or Civil Engineering desired with a minimum of ten (10) years relevant aerospace experience or 15 years of equivalent aircraft design experience required.







____________________________________________________________________

Butler America Aerospace, LLC. is proud to provide an equal opportunity workplace and be an affirmative action employer. Consider Butler because we are committed to hiring and retaining a diverse workforce. We recognize that we thrive on diversity for the benefit of our employees, our customers, and the communities where we are located. Employment with Butler America Aerospace, LLC. is governed on the basis of qualifications and competence without regard to race, color, religion, gender, national origin, ethnicity, veteran status, disability status, age, sexual orientation, gender identity, gender expression, marital status, mental or physical disability or any other legally protected status.
 
Job Number: 55916
Job Location: St. Louis, MO
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Laura Leszek
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Engineer

Description:
We are looking for an experienced Supplier Quality Engineer with expertise in the Electrical commodities to implement tools and processes to insure suppliers starting new work are prepared and have robust plans to deliver first time quality to our client's program customers.
This position will investigate, evaluate, and resolve supplier quality problems by conducting audits, analyzing defect trends, and leading continuous improvement projects. The Supplier Quality Engineer will conduct capability assessments that verify supplier qualifications, travel to assigned suppliers, and lead multiple projects to completion. You must be a self-starting problem solver who will utilize your analytical skills to find innovative solutions to quality-related issues. Strong communication skills are required to insure suppliers understand requirements and expectations, make progress reports and present findings.
You will be reporting to the Supplier Quality Director, Northeast Region. Expected travel is approximately 50%. This will be mostly local day trips with some overnight travel in the region.
Additionally, you will:
• Utilize supplier Scorecards/metrics and analytical tools to quantify performance levels of individual suppliers and work with those suppliers to develop improvement plans to achieve 100% quality performance
• Evaluate the impact of nonconforming product and assess suppliers corrective actions
• Champion problem solving and root cause analysis activities with suppliers to eliminate recurrence of non-conformances
• Perform Source Inspection of product at supplier locations
• Perform and Evaluate First Article Inspections per the requirements of AS9102 as well as train suppliers to meet our client's expectations
• Drive Zero Defect mentality within the supply base
REQUIRED SKILLS:
* Strong verbal and written communication skills
* Ability to comfortably learn and adapt to new (company) software tools and databases necessary for the job; such as Autotime, TipQA, PDM, Excel, etc.
* Ability to identify trends from complex data streams
PREFERRED SKILLS:
* Lean Six Sigma Green / Black Belt
* Eligibility for DOD Secret security clearance

REQUIRED EXPERIENCE:
* 5+ years of relevant work experience in Supplier Quality Engineering
* Working knowledge of AS9100 Quality System and AS9102B First Article Requirements
* Working knowledge of PWB/PCB/CCA inspection/test, equipment and instrumentation
* Experience in Special Process application and validation (i.e. plating, paint, welding, heat treat)
* Working knowledge and experience with Environmental Stress Screening (ESS)
* Working knowledge of supply chain logistics from raw material to finished goods including experience with Enterprise Resource Planning (ERP) system/Quality Management Software system

PREFERRED EXPERIENCE:
* Experience in Avionics or Defense Electronics Prime Contract environment
* Experience in a high volume manufacturing environment
* Strong understanding of 8D problem solving methodology
* Ability to generate cost reductions
* Experience executing or coaching suppliers on AS9102 FAIs and PPVs
* Working knowledge of APQP and PPAP techniques
* IPC-A-600 or IPC-A-610 certification
REQUIRED
EDUCATION:Bachelors Degree in Engineering or Technical field
 
Job Number: 47976
Job Location: Nashua, NH
Duration: 6 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Rick Nussbaum
Address: 7310 RITCHIE HWY STE 400
City, State: GLEN BURNIE, MD 21061-3092
800 Phone: 866/277-3343
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Engineer

Description:
Must Be Eligible for a Clearance

Documentation of FAIs in accordance with AS9102. Be efficient using computer systems and web portals.

Quality Engineer responsible for supplier FAI (First Article Inspection) review and disposition with input of product status to the LM-P2P/SAP ERP system. Acceptable candidates will have experience in product inspection method/processes across multiple commodity types from mechanical to electrical. Tasks will be to clear FAI backlog at the Ocala campus with pending or suspended dispositions.
 
Job Number: 2244
Job Location: FL
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 6 mos+
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Engineer

Description:
Must Be Eligible for a Clearance

Documentation of FAIs in accordance with AS9102. Be efficient using computer systems and web portals.

Quality Engineer responsible for supplier FAI (First Article Inspection) review and disposition with input of product status to the LM-P2P/SAP ERP system. Acceptable candidates will have experience in product inspection method/processes across multiple commodity types from mechanical to electrical. Tasks will be to clear FAI backlog at the Ocala campus with pending or suspended dispositions.
 
Job Number: 2244
Job Location: FL
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 6 mos+
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Engineer

Description:
Must Be Eligible for a Clearance

Documentation of FAIs in accordance with AS9102. Be efficient using computer systems and web portals.

Quality Engineer responsible for supplier FAI (First Article Inspection) review and disposition with input of product status to the LM-P2P/SAP ERP system. Acceptable candidates will have experience in product inspection method/processes across multiple commodity types from mechanical to electrical. Tasks will be to clear FAI backlog at the Ocala campus with pending or suspended dispositions.
 
Job Number: 2244
Job Location: FL
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 6 mos+
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Engineer With P2P SAP (216)

Description:
*This is a full time, 3 month, contract position located in Ocala, FL*
We are looking for a Quality Engineer who has been responsible for overseeing the first article inspection process.

Daily duties will include review, disposition and input of product status to the Procure to Pay (P2P SAP ERP system. Each day candidate will be conducting product inspections method/processes for our materials/commodities that can range from electrical to mechanical and structural. We are seeking the contractor to catch up on our First article inspections with pending or suspended dispositions.



Required:
*Experience in quality engineering using SAP’s Procure to Pay (P2P) System (use system to do transactions and lookup status).
*Strong experience in mechanical and electrical inspection as well as technical requirements interpretation and validation.
*Background in making decisions regarding product disposition [after technical review, of course]
*Knowledgeable of measuring/confirming attributes of quality compliance with products.
*4 year degree or 3 years equivalent experience performing above tasks



Pluses:
*Documenting first article inspections complying with AS9102.
 
Job Number: 216
Job Location: Ocala, FL
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Quality Mission Assurance Analyst 4 LA

Description:
164335
1743 Quality Mission Assurance Analyst 4 (Job Number: 19006863)

Number of Openings: 1

Location(s): United States-Louisiana-Lake Charles


Shift: 1st Shift

Target Start Date: Mar 25, 2019

Target End Date: Mar 27, 2020

Work Week: 4/10

Location: Lake Charles, LA

Duration: 1 Year (and potential to extend)


Hourly Rate: $52.50

Develop and implement program quality plans, programs, and procedures using statistical quality control statistics, lean manufacturing concepts, and six-sigma tools and analyses. Ensures that performance and quality products conform to established company and regulatory standards. Reviews, analyzes and reports on quality discrepancies related to assembly, process, mechanical, electrical and electro-mechanical systems. Investigates problems and develops disposition and corrective actions for recurring discrepancies. Interfaces with manufacturing, engineering, customer, vendor and subcontractor representatives to ensure requirements are met. Recommends corrective actions, dispositions and modifications.

Basic Qualifications:

6 Years with Bachelors; 4 Years with Masters


Preferred Qualifications:

Extensive knowledge in production quality assurance is preferred.

Working knowledge and understanding of MES

Aircraft and structures experience is a plus
 
Job Number: MB-1743ATO
Job Location: Lake Charles, LA
Rate: 52.50/hr max
Per Diem: no
Duration: 12 months
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Reliability & Maintainability Engineer

Description:
Description
Support daily event entry into the Failure Reporting, Analysis & Corrective Action System (FRACAS) database via review of operations, test logs and NAVAIR maintenance databases; capture event evidence via interviews with maintainers, pilots, Marine maintainers, photos, test/data logs, etc. Ensure tagging/isolation of parts for Root Cause /Corrective Action analysis via working with Quality and IPT members and to ensure proper component disposition prior to return to Supplier / Sikorsky. Support daily updates of parts status and management process to ensure timely root cause analysis and return to supply chain.

Support daily joint preliminary-scoring with NAVAIR team members. Must be comfortable building relationships with customer and hourly-workforce.

Experience/Background:
A.S. degree in Mechanical, Electrical or Aerospace Engineering, preferred but not required and may be waived if candidate has sufficient practical experience, such as the following:
• Military maintenance background on H-53 aircraft is preferred.
• Previous commercial or military aircraft maintenance
• Previous experience in aircraft test operations support.
• R&M FRACAS, failure analysis experience preferred, but is not required.

Other skills required:
• Must be a self-starter and work independently, requiring a minimum of supervision.
• Must be comfortable building relationships with customer and hourly-workforce.
• Must possess skill level capable of performing tasks required to support the FRACAS program.
• Must possess excellent written and oral communication skills.
• Computer familiarity with Microsoft Word, Excel, PowerPoint, Picture Manager (or similar photo imager tool) and Outlook.
Position may require some overtime and/or support of second or third shift and/or occasional weekends in support flight test and maintenance schedules.
 
Job Number: MD47801
Job Location: Patuxent River, MD
Rate: 60.00
Per Diem: Possible
Overtime: Possible
Duration: 18 months
Input Date: 11/14/2020
Firm Name: APOLLO PROFESSIONAL SOLUTIONS
Attention: Bill Carriveau
Address: 7310 RITCHIE HWY STE 400
City, State: GLEN BURNIE, MD 21061-3092
800 Phone: 866/277-3343
Website: www.apollopros.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Reliability Engineer FRACAS, FEMCA, HALT (327)

Description:
*This is a full time, one year or longer, contract position located in Orlando*



We are seeking a lead Reliability Engineer who will be working on our DoD/military Electronic Warfare / missile systems. The candidate will be creating reliability predictions for mechanical and electrical design as well as Failure Mode and Effects, and Criticality Analysis (FMECA), Build in test (BIT), working with reliability program growth plans, conducting reliability growth analysis, Highly Accelerated Life Testing (HALT), Corrective Action Boards (CAB) and Failure Review Boards (FRB).



Daily duties may include supporting design reviews and test activities, working with Corrective Action Boards (CAB) and Failure Review Boards (FRB), identify Failure Review and Corrective Action System (FRACAS) trends to identify and prioritize failure modes to investigate for corrective actions, review Engineering Change Proposals (ECP), Aging and Reliability Qualification Test (RQT), support Concept Screening Board (CSB) and Configuration Control Board (CCB). Will be developing reliability predictions using Relex (Windchill). There will be a good portion of the time spent on providing inputs to engineers, performing analyses, and writing reports



Required

*5 years’ in Reliability Engineering; particularly performing reliability predictions, root cause analysis, corrective action boards (CRBs) and Failure Review Boards (FRBs).

*Experience using FRACAS and FMECA analysis as well as Data Mining.

*Experience in Human Factors, and/or Testability/Built-in Test (BIT); reliability predictions.

*Experience with Windchill (Relex) Quality Solutions Reliability software tool or equivalent.

*Ability to manage subcontractors in Logistics field of expertise.

*Able to give presentations in front of groups.

*Ability to obtain apply and obtain a clearance upon starting; Clearance status must be noted on top of resume.

*4 year degree



Pluses

*Active Secret Clearance

*Experience Highly Accelerated Life Testing (HALT)

*Experience with Reliability Centered Maintenance (RCM).

*Experience in Human Factors, and/or safety engineering

*Good mechanical skills

*Electronic warfare/missile system experience
 
Job Number: 327
Job Location: Orlando, FL
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Rf Test Engineer

Description:
Responsibilities include but not limited to:
• Understanding of RF skills and test development using LabVIEW Software
• Support the development and implementation of factory manufacturing testing for complex Satellite communications products, including test software development, fixture design and project leadership
• Testing a single complex features or set of less complex features, based on test requirement documents


Basic Requirements:
• Bachelor's Degree in Electrical Engineering or 5 years or more of equivalent experience with High speed modems with L/S-band interface; RF/Microwave frequency converters; LabVIEW test experience working with engineers in a development environment and transitioning into a production test environment, support of this transition to the Manufacturing/Production Test engineers. Understanding and implementation of RF measurements (automation) using Spectrum analyzer, Signal Generator, Vector Network Analyze (VNA)r, Power Meter, and Vector Signal Analyzer (VSA)


Preferred Qualifications:
• Previous experience using test software development using NI LabVIEW
• Understanding of PXI/IEEE based test systems, spectrum analyzers, signal generators, path loss calibrations, VSA's and Ethernet communication protocols
• Proficient in MS Office, including Outlook, Word, Excel and PowerPoint
• Good communication skills, both verbal and written
 
Job Location: Germantown, MD
Rate: 60-65
Per Diem: Yes
Overtime: No
Duration: 6-12
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GREENLIGHT PROFESSIONAL SERVICES
Attention: Jason
Address: 319 LITTLETON RD STE 308
City, State: WESTFORD, MA 01886
800 Phone: 877/562-4477
Website: www.gpsnational.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
SR.ELECTRICAL ENGINEER

Description:
SENIOR ELECTRICAL ENGINEER  

An Oklahoma based aerospace engineering and manufacturing company of aircraft environmental control systems is seeking a Sr. Electrical Engineer.



RESPONSIBILITIES:


  • Research, develop, design, model and certify analog/digital circuits for use in brushless motors.

  • Devise new approaches to problems encountered, assists technical personnel in execution of drawings, perform technical studies and evaluations. 


REQUIREMENTS: 


  • At a minimum a Bachelor's Degree in Electrical Engineering and analog/digital design experience 

  • 5+ related work experience

  • The ability to work well in a team environment, to trouble shoot, teach or learn about the designs. 

  • Exercise independent judgment to develop designs with minimal direction and concurrently support multiple projects. 

  • The ability to communicate with other engineers and customer representatives to resolve design discrepancies and develop products.

  • Aerospace Industry experience a plus.


  

Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.

 
 
Job Number: 19-00245
Job Location: Seminole, OK
Rate: 120000.0USD/Hour
Per Diem: --
Overtime: --
Duration: Direct
Start Date: 2019-01-03 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Kristy Thompson
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Satcom Engineering Director

Description:
Developing and demonstrating technologies to allow uninterrupted communications.
Researching and developing SATCOM technologies to provide diverse communications pathways.
Modern techniques for advancement and innovation in SATCOM systems
Evaluation and modernization of existing SATCOM solutions
Development of new multi-beam, multi-frequency capable VSAT terminals.
Troubleshoot existing solutions for failure analysis and develop solutions to repair, optimize, and modernize.


Required:

Candidate must possess an active DoD secret clearance.
BS and 8 years of relevant experience
Experience designing and leading a design team for VSAT Terminals in X, Ku, and Ka frequency bands.
Experience with small form factor, and modular/scalable Antenna expertise.
Knowledge of current low/medium/geosynchronous Earth orbit (LEO/MEO/GEO) satellite systems.
Experience with Communications signal processing, link design and analysis
Experience Designing and deploying SATCOM Networks
Experience with Deployable VSAT Terminals
Experience using these software and modeling tools:
CST Electromagnetic Simulation Software
National Instruments AWR Design Environment: Microwave Office
NI AWR VSS Software
Keysight Technologies Advanced Design System Simulation Elements: Advanced Design System


Desired:

Master's degree in Electrical Engineering or equivalent.
Sales Engineering Experience
Existing US Army VSAT terminal experience
Experience with Phased array Technology
 
Job Location: Annapolis, MD
Rate: $150-225K
Per Diem: No
Overtime: NO
Duration: PERM
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: GREENLIGHT PROFESSIONAL SERVICES
Attention: Jason
Address: 319 LITTLETON RD STE 308
City, State: WESTFORD, MA 01886
800 Phone: 877/562-4477
Website: www.gpsnational.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Senior Technical Writer

Description:
EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired.
 
Job Number: 19-143543
Job Location: Southeast
Rate: $37.00 per hour
Per Diem: Split
Duration: Year +
Input Date: 11/14/2020
Firm Name: AGENTE TECHNICAL
Attention: Alexa Bui
Address: 714 CENTERPARK DR STE 140
City, State: COLLEYVILLE, TX 76034-2852
Phone: 972/415-4545
800 Phone: 866/758-2494
Fax Phone: 866/717-5927
Website: www.agentetech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Software Engineer

Description:
Position Overview:

Designs, develops operates and maintains software components and computing systems software to be applied to and integrated with engineering, scientific and manufacturing requirements.



Applies the appropriate standards, processes, procedures and tools throughout the system development life cycle to support the generation of engineering applications and products.



Developments real time embedded systems involving SW for digital signal processing, electronic warfare, and control and display components. Interfaces with customers, suppliers, application users and other technical and support personnel.



A Bachelor’s degree in Computer Science, Electrical Engineering, or related engineering field.   (MUST BE ABLE TO OBTAIN A SECURITY CLEARANCE)


  • 9+ Years of Embedded Software Engineering experience.

  • Real Time Operating Systems Experience.

  • Must have experience with C++ programming languages:(Either applicable. No preference) :

  • C++

  • EW Background • Capable of working on both high and low level software • VxWorks or similar embedded OS experience • UML design experience




Nesco Resource is an equal employment opportunity employer and does not discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, age, or veteran status, or any other legally protected characteristics with respect to employment opportunities.
 
Job Number: 18-49460
Job Location: Clifton, NJ
Rate: 0.0USD/Hour
Per Diem: --
Overtime: --
Duration: 2019-06-21 00:00:00.0
Start Date: 2018-12-17 00:00:00.0
Input Date: 11/14/2020
Firm Name: NESCO RESOURCE
Attention: Brian Carrillo
Address: 101 COMMERCE ST STE 3001
City, State: LAKE MARY, FL 32746
Phone: 407/710-8000
Fax Phone: 407/710-8001
Website: www.nescoresource.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Sr Technical Writer

Description:
Sr Technical Writer is directly responsible (either individually or with other team members) for the development of technical publications. This can include special projects, research and development, and aircraft safety-related content. Support the complete workflow process to ensure successful development and revision of technical publications. Comply with established quality processes to ensure accuracy, ease of use, and timely delivery of content. EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired.


Principle Duties and Responsibilities:

Sr Technical Writer with minimal to no supervision, use aircraft technical data to develop technical content that provides the information necessary for efficient return to service of the aircraft. 2. Conduct reviews, analysis, and modification of technical documents to gain a thorough understanding of complex aircraft system operation. 3. Provide training support on complex system operation and technical publications software and processes. 4. Participate with publications team to ensure department workflows and business processes are followed. 5. Proactively conduct discussions with internal/external customers in the development of source data, making sure it meets technical publication requirements. 6. Directly collaborate with Research and Development, Production, Completions Center, and Customer Support personnel to develop, review, and approve technical procedures. 7. Provide process improvement recommendations to leadership that can reduce overall department cost and/or increase productivity. 8. Contribute to development and continuous improvement of department styles, standards, operating procedures, and priorities. 9. Provide input to leadership on new processes or technology to enhance the Technical Publications business model. 10. Assist in the development of solutions to resolve technical data challenges. 11. Collaborate with leadership, team members, and other departments to develop/implement special projects. Perform other duties as assigned.

Sr Technical Writer has extensive knowledge of aircraft system methodology gained through practical experience is required. 2. Strong technical writing and communication skills are required. 3. Ability to read and interpret engineering data is required. Experience with 3-D data is desired. 4. Thorough knowledge of aircraft/hand tools, test equipment, parts nomenclature, maintenance procedures, and aircraft equipment (obtained through experience, research, or training) is required.
Education and Experience Requirements:
Bachelor's Degree or equivalent experience in the authoring of technical publications required. Four (4) years of technical publications experience, to include using industry standards to develop/revise technical documents in word processing applications. Knowledge of basic aircraft system methodology or equivalent technology is required. Proficiency in computer technology/software and typing skills is required. An A&P license and 4 years of related aircraft experience in addition to the four (4) years technical publications experience may offset the degree.
 
Job Location: Savannah, GA
Rate: Open
Per Diem: Possible
Overtime: Possible
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Andrew Walbert
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Staff Electrical Engineer

Description:
Staff Electronics Engineer ( Butler Aerospace Project)
Location: Cary, NC
12+ months (SOW)

Job Profile Summary

This person defines, plans, designs, and tests aerospace electrical systems requiring standard methods of electrical engineering.
The required work includes the development of new systems using electronics in an aerospace environment. Examples include active vibration control, motor control, power electronics, sensor interfaces, embedded micro-controllers, communication buses, electromagnetic filtering, and lightning protection.
Job Responsibilities

Required

Design circuit card assemblies, using schematic capture tools
Perform analysis of electrical circuits using electronics simulation tools
Oversee component layout using outside vendors
Perform design evaluation tests on circuit card assemblies
Diagnose electrical problems with airborne electronic systems and subsystems
Plan, Perform, and document risk reduction and qualification tests including EMI testing
Oversee circuit board assemblies using contract manufacturing
Plan, coordinate, and complete large or novel projects which may involve the supervision of a few engineers or technicians; some difficult coordination may be required.
Establish and maintain communications throughout the organization to assure efficient work flow and foster or encourage a mutual exchange of new ideas, concepts, or technologies with other company personnel. In addition, cultivate working relationships with outside sources, through presentation of papers or participation in seminars, lectures, etc. to broaden personal and company knowledge and technology base as well as enhance corporate image as a technological leader.
Control assigned resources (project spending, technician time) within guidelines or plans in achieving objectives.
Support internal and external (customer) programs through laboratory demonstrations of development and production hardware, oral presentations, report and proposal writing, etc.
Demonstrate professional growth through additional informal study, participation in seminars, short courses, and technical society activities, and perusal of technical and trade literature pertinent to the incumbent’s specialty.
Oversees use of laboratory and laboratory equipment, coordinates use of equipment for test campaigns, and orders appropriate laboratory equipment.
Assist in initiating new programs that enter the development phase or receive customer production commitment.
Some travel required.


Preferred

Knowledge of project management techniques.
Design for X ( Cost, Manufacturing, Reliability , etc.)
Proposal writing Skills
System Architecture Design
Work Experience

Required

10 years’ experience
MS in Electrical Engineering plus 8 years’ experience
Education

Required

BS in Electrical Engineering
Preferred

MS in Electrical Engineering
Languages
Required
Speak English fluently. Good oral and written communication skills.
Preferred
Speak French fluently.

TRAVEL:
Some travel to customer location may be required.
US Citizen or Green Card Holder only.
Min years of experience: 10 years
 
Job Number: 56257
Job Location: Cary, NC
Rate: Open
Per Diem: Possible
Overtime: Yes
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BUTLER AEROSPACE & DEFENSE
Attention: Suzanne Schmidt
Address: SHELTON POINTE STE 204
2 TRAP FALLS ROAD

City, State: SHELTON, CT 06484
Phone: 203/926-2705
Website: www.butler.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Staff Electrical Engineer

Description:
Location: Phoenix, AZ
Rate: $58.00/HR
Duration: 1 year contract
Per Diem Split: No

****MUST be a U.S. Citizen or Permanent Resident****
****MUST have a Bachelors Degree****

Job Description:
- The Staff Electrical Engineer will work with a global team of engineers in Phoenix and India, on electronic control units for aerospace applications.
- The role will support the entire design life cycle from project planning efforts to entry into service and production transition support.
- Requirements definition using DOORS, detailed design, validation of requirements and verification of implementation.
- Also includes managing Problem reports for hardware that has already been delivered.
- The successful candidate will be a highly motivated self-starter with strong written and oral communication skills and works well in a team environment.
- As a Staff Electrical Engineer, this role will lead and mentor other electrical engineers throughout the entire design life cycle and support project planning efforts and ensure execution to schedule and budget.

Primary Responsibilities:
- Primary ownership of systems development processes.
- Support the development and review of systems/hardware requirements decomposed from customer specifications.
- Coordinate with systems and hardware teams to understand the cross functional requirements and constraints.
- Assess design maturity and reviewing technical risk.
- Participate in component design reviews.
- Utilize appropriate Lean Product Development tools to support cost-effective technical solutions with heavy focus on platform design, reuse, and knowledge-management
- Support project planning efforts and ensure execution to schedule and budget.
- Design responsibilities include architecture, development and analysis of RF, wireless and high speed communication links.
- Create documentation including hardware design plans, technical requirements, functional specifications, design specifications, qualification test plans and reports.
- Evaluate and approve electronic components to meet requirements for availability, performance and cost.
- Support project planning efforts and ensure execution to schedule and budget.
- Interface and support validation, verification and manufacturing engineers and technicians with product and manufacturing testing.
- Apply knowledge of DOE, FMEA, tolerance analysis, simulation and statistical methods to improve robustness and quality of electronic designs.

Qualifications:
- Bachelor's degree required.
- Proficient with analog, digital circuit design, RF and wireless systems, building, and testing circuits in a lab environment
- Good computer skills with ability to learn new programs/software
- Ability to work under pressure and within time constraints
- Excellent organizational skills with ability to multi-task amidst rapid change
- Hardware Verification experience including, test procedure development, robustness testing and debug
- Experience developing hardware/software integration plans
- Experience creating LRU level (black box) requirements based test cases and procedures, and executing systems verification testing consistent with DO-254 guidelines
- Excellent written and verbal skills
- Good computer skills with ability to learn new programs/software
- Ability to work under pressure and within time constraints
- Excellent organizational skills with ability to multi-task amidst rapid change
- Systems Verification experience including, test procedure development, robustness testing and debug
- Experience with configuration management and problem reporting tools

Preferred Qualifications:
- Experience working within DO-254 certification program(s), including Stages of Involvement (SOI) Audits under EASA or FAA
- Experience using Mentor Graphics DxDesigner and Expedition’
- Masters’ degree in Electrical Engineering.
- Experience with high reliability controller electronics and power switching circuitry.
- Familiarity with software developed in accordance with the requirements of DO-178B or DO-178C.
 
Job Number: 10408
Job Location: Phoenix, AZ
Rate: $58.00/HR
Per Diem: No
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Staff Electrical Engineer (Aerospace Electronic Control Units)

Description:
The Staff Electrical Engineer will work with a global team of engineers in Phoenix and India, on electronic control units for Aerospace applications. The role will support the entire design life cycle from project planning efforts to entry into service and production transition support. Requirements definition using DOORS, detailed design, validation of requirements and verification of implementation. Also includes managing Problem reports for hardware that has already been delivered.

The successful candidate will be a highly motivated self-starter with strong written and oral communication skills and works well in a team environment. As a Staff Electrical Engineer, this role will lead and mentor other electrical engineers throughout the entire design life cycle and support project planning efforts and ensure execution to schedule and budget.

Primary Responsibilities
• Primary ownership of Systems Development Processes.
• Support the development and review of Systems / Hardware requirements decomposed from customer specifications.
• Coordinate with Systems and Hardware teams to understand the cross functional requirements and constraints.
• Assess design maturity and review technical risk.
• Participate in Component Design reviews.
• Utilize appropriate Lean Product Development tools to support cost-effective technical solutions with heavy focus on platform design, reuse, and knowledge-management
• Support project planning efforts and ensure execution to schedule and budget.
• Design responsibilities include architecture, development and analysis of RF, wireless and high-speed communication links.
• Create documentation including hardware design plans, technical requirements, functional specifications, design specifications, qualification test plans and reports.
• Evaluate and approve electronic components to meet requirements for availability, performance and cost.
• Support project planning efforts and ensure execution to schedule and budget.
• Interface and support validation, verification and manufacturing engineers and technicians with product and manufacturing testing.
• Apply knowledge of DOE, FMEA, tolerance analysis, simulation and statistical methods to improve robustness and quality of electronic designs.
• Proficient with analog, digital circuit design, RF and wireless systems, building, and testing circuits in a lab environment
• Good computer skills with ability to learn new programs/software
• Ability to work under pressure and within time constraints
• Excellent organizational skills with ability to multi-task amidst rapid change
• Hardware Verification experience including, test procedure development, robustness testing and debug
• Systems Verification experience including, test procedure development, robustness testing and debug
• Experience with configuration management and problem reporting tools
• Experience developing hardware/software integration plans
• Experience creating LRU level (black box) requirements-based test cases and procedures, and executing systems verification testing consistent with DO-254 guidelines
• Excellent written and verbal skills

Preferred Qualifications
• Experience working within DO-254 certification program(s), including Stages of Involvement (SOI) Audits under EASA or FAA
• Experience using Mentor Graphics DxDesigner and Expedition
• Masters’ degree in Electrical Engineering
• Experience with high reliability controller electronics and power switching circuitry
• Familiarity with software developed in accordance with the requirements of DO-178B or DO-178C.
 
Job Number: 1910097977
Job Location: Phoenix, AZ
Duration: 1 year
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Stephanie Spolzino
Address: 50 FOUNDERS PLAZA STE 304
City, State: EAST HARTFORD, CT 06108
Phone: 860/289-2643
800 Phone: 866/636-4723
Fax Phone: 800/556-5284
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
System Safety Engineer - Mid-Level

Description:
General Summary:
This position is for a mid-level engineer reporting directly to the Section Chief of System Design Assurance. The engineer will be responsible for compiling system safety assessments on various airplane systems.


Duties and Responsibilities:
Primary tasks include performing Functional Hazard Assessment (FHA), System Safety Assessment (SSA) and Particular Risk Assessment (PRA) to support all stages of aircraft development. Secondary tasks include review and support of supplier FMEAs, compilation of safety documents, supplier coordination, and inter-departmental coordination.


Knowledge, Skills and Abilities:
• Working aircraft and system safety assessments in accordance with SAE ARP 4761
• Capable of handling complex individual work assignments
• Possess excellent coordination skills, and must work well in a multi-functional team environment
• Familiarity with requirements of 14CFRPart 23 and EASA CS23 is required. Experience with both mechanical and electrical/avionics systems is preferred


A strong background and demonstrated ability is required in the following areas:
o Conduct of SAE ARP4761 programs
o Performance of Reliability Predictions, FMEAs, FTAs, CCAs, and maintenance task identification for continued airworthiness
o Compilation of System Safety Analyses
o Supplier interface, coordination, and task management
o Must be familiar with Microsoft Office suite (Word, Excel, Project, PowerPoint). Must be conversant with RM&S analyses tools e.g. PTC Windchill, Isograph Reliability Workbench, CAFTA
o Familiarity with requirements management tools (DOORS), PLM tools (TeamCenter etc.) and SAP is desired

The Structures Company is an AA/EOE.
 
Job Number: 269
Job Location: NC
Per Diem: $65/Hr
Duration: 10+ Month
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer

Description:
We are looking for an enthusiastic and highly-motivated individual to join us as a Systems Engineer to support the design, development, verification & validation, and operational support of ECLSS and ATCS hardware.

Responsibilities include:
• system development trade studies and optimization,
• development of concepts of operations,
• developing product requirements at the system/component level for mechanical/electrical/software,
• thermal/fluid/chemical system modeling,
• steady state & transient performance analyses
• component sizing.

Education / Certifications
BS in Mechanical/Aerospace/Chemical/Systems Engineering with 5-25 years of experience

Experience / Basic Qualifications
• Relevant component hardware experience: heat exchangers, pumps/fans/separators/motors/motor controllers, chemical beds, sensors, valves, accumulators
• Ability to work well both independently and within an integrated product development (IPD) team environment
• Excellent attention to detail
• Excellent writing & math skills
• Must be a US Person

Preferred Qualifications
• Experience performing thermal/fluid/chemical analyses
• Experience on hardware development program: proposal/development/manufacture/verification/operational support
• Experience performing system development/integration/architecting
• Experience deriving & writing requirements for development hardware (DOORS)
• Relevant system experience: thermal control systems, air revitalization systems (CO2/H2O/trace contaminant removal), pressure control systems, oxygen generation systems, potable water systems, fuel cell systems, human waste management systems or other equivalent systems
 
Job Number: 1910097467
Job Location: Windsor Locks, CT
Per Diem: no
Overtime: possible
Duration: 1 year
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Stephanie Spolzino
Address: 50 FOUNDERS PLAZA STE 304
City, State: EAST HARTFORD, CT 06108
Phone: 860/289-2643
800 Phone: 866/636-4723
Fax Phone: 800/556-5284
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer

Description:
ability to obtain a clearance

Related experience working with electrical circuits and/or mechanical design and reading of schematic diagrams/drawings on military platforms.
Must have the ability to troubleshoot electrical/electronic circuits and perform failure analysis as needed.
Must have the ability to design mechanical fixtures and testing apparatuses.
Systems Engineering/ Integrated Product Development process knowledge preferred.
Familiar with military standard specifications: MIL-STD-810, MIL-HDBK-310, MIL-STD-961.
Good knowledge of various test equipment and test measurement methods and environmental exposure methods.
Understanding of digital electronics, automatic test equipment and software.
 
Job Number: 45917
Job Location: MI
Rate: Open
Per Diem: Split
Overtime: Possible
Duration: 12 mos +
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PANTHER GROUP
Attention: Marques
Address: 5 MILL AND MAIN PL STE 430
City, State: MAYNARD, MA 01754
Phone: 781/790-7900
800 Phone: 800/776-0562
Fax Phone: 781/672-2570
Website: www.thepanthergrp.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Systems Engineer

Description:
Location: Windsor Locks, CT
Rate: $62.00/HR
Duration: 1 year contract
Per Diem Split: No


****MUST be a U.S. Citizen or Permanent Resident****
****MUST have a Bachelor's Degree****


Job Description:
- Our client is one of the world's largest suppliers of technologically advanced aerospace and defense products.
- The ISR & Space Systems business unit provides products and services to global government and commercial markets that enable mission success in the harshest environments in space, in the air, at sea, and on the ground.
- They design and manufacture Environmental Control and Life Support Systems (ECLSS) and Active Thermal Control Systems (ATCS) on a number of space & sea platforms including the International Space Station, the U.S. space suit, and the next generation of space vehicles such as CST-100 and Orion, and the US Navy’s submarine fleet.
- Our client is looking for an enthusiastic and highly motivated individual to join them as a Systems Engineer to support the design, development, verification & validation, and operational support of ECLSS and ATCS hardware.


Responsibilities include:
System development trade studies and optimization, development of concepts of operations, developing product requirements at the system/component level for mechanical/electrical/software, thermal/fluid/chemical system modeling, steady state & transient performance analyses, as well as component sizing.


Education / Certifications:
- Bachelors in Mechanical/Aerospace/Chemical/Systems Engineering with 5-25 years of experience


Basic Qualifications:
- Relevant component hardware experience: heat exchangers, pumps/fans/separators/motors/motor controllers, chemical beds, sensors, valves, accumulators
- Ability to work well both independently and within an integrated product development (IPD) team environment
- Excellent attention to detail
- Excellent writing & math skills
- Must be a US Person


Preferred Qualifications
- Experience performing thermal/fluid/chemical analyses
- Experience on hardware development program: proposal / development / manufacture / verification / operational support
- Experience performing system development/integration/architecting
- Experience deriving & writing requirements for development hardware (DOORS)
- Relevant system experience: thermal control systems, air revitalization systems (CO2/H2O/trace contaminant removal), pressure control systems, oxygen generation systems, potable water systems, fuel cell systems, human waste management systems or other equivalent systems
 
Job Number: 10341
Job Location: Windsor Locks, CT
Rate: $62.00/HR
Per Diem: No
Duration: 1 yr
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Catia V5 Instructor

Description:
Position Purpose:
Assists with the planning, coordination, performance of design, analysis and liaison engineering supporting the development and production of Gulfstream products and services

Unique Skills:
• CATIA v5 experienced with 5000+ hours. All Level 1 tools CATIA v5 (Part Design, Assembly, Drafting).
• Level 2 tools CATIA v5 Generative Surfacing, Free Style Shaping, Generative Sheetmetal, and Generative Stress Analysis.
• Other tools: CATIA v5 Composites, Electrical EHI, Capitol Harness, Tubing experience a plus.
• Quantitative experience with Geometric Dimensioning and Tolerances a plus.
• Experience working within PDM systems such as Enovia, SmarTeam or NX/Teamcenter.
• Formal Classroom instruction experience required for CATIA and PDM.

Education and Experience Requirements:
• Bachelor’s degree in engineering, a related curriculum, or equivalent combination of education and experience sufficient to successfully perform the essential functions of the job.
• See Other Requirements in Job Description. 5 yrs in specific technical discipline or 10 yrs broad eng experience in several technical disciplines with Bachelors.
• Experience credit considered for related adv degrees limited to 2 yrs for Masters, 4 yrs for PhD in fields applicable to this job.

Principal Duties and Responsibilities:
• Ideal Candidate will primarily teach, assist and mentor employees with CATIA v5 and SmarTeam.
• Candidate will support Engineers and be expected to answer questions intelligently in a class setting.
• This candidate will primarily be on location in Tulsa and be expected to travel back and forth to Savannah and sub tier supplier sites to support Gulfstream Oklahoma.
• Contributes to planning, developing and coordinating of important engineering projects
• Provides specialized technical assistance to team members
• Uses advanced techniques and modified extension of theories to provide technical solutions to a wide range of difficult problems
• Determines and develops own approach to solutions within schedule and cost objectives
• Works under limited supervision; work should only be required to be reviewed for accuracy and consistency with meeting overall objectives
• Acts as liaison with representatives outside of the assigned group/area
• Actively works to improve daily processes and ensures all work meets customer requirements
• Maintains a current knowledge of developments in the field of specialty and/or other related aircraft fields in order to recommend innovations to improve quality and effectiveness of company product
• Advanced degree in engineering related field preferred
• The level of technical skill and abilities appropriate for this grade will be established by each discipline
 
Job Number: 24-346
Job Location: Tulsa, OK
Rate: $60/hr
Per Diem: YES
Overtime: YES
Duration: 1 year plus
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PRECISION PERSONNEL INC
Attention: Brandon
Address: 600 CLEVELAND ST STE 700
City, State: CLEARWATER, FL 33755
Phone: 727/449-0600
800 Phone: 877/600-2333
Fax Phone: 727/449-0627
Website: www.precisionpersonnel.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Technical Writer Sr.

Description:
Location: Savannah, GA
Rate: $37.00/HR
Duration: Long Term Contract

****MUST be a U.S. Citizen or Permanent Resident****

Required Skills:
EWIS (Electrical Wiring Interconnection System) best practices, S1000D Technical Publication Specification, STE (Simplified Technical English), and PLM (Product Lifecycle Management) database experience desired.

Education and Experience Requirements:
Bachelor's Degree or equivalent experience in the authoring of technical publications required. Four (4) years of technical publications experience, to include using industry standards to develop/revise technical documents in word processing applications. Knowledge of basic aircraft system methodology or equivalent technology is required. Proficiency in computer technology/software and typing skills is required. An A&P license and 4 years of related aircraft experience in addition to the four (4) years technical publications experience may offset the degree.

Position Purpose:
Directly responsible (either individually or with other team members) for the development of technical publications. This can include special projects, research and development, and aircraft safety-related content. Support the complete workflow process to ensure successful development and revision of technical publications. Comply with established quality processes to ensure accuracy, ease of use, and timely delivery of content.

Principle Duties and Responsibilities:
Essential Functions:
1. With minimal to no supervision, use aircraft technical data to develop technical content that provides the information necessary for efficient return to service of the aircraft.
2. Conduct reviews, analysis, and modification of technical documents to gain a thorough understanding of complex aircraft system operation.
3. Provide training support on complex system operation and technical publications software and processes.
4. Participate with publications team to ensure department workflows and business processes are followed.
5. Proactively conduct discussions with internal/external customers in the development of source data, making sure it meets technical publication requirements.
6. Directly collaborate with Research and Development, Production, Completions Center, and Customer Support personnel to develop, review, and approve technical procedures.
7. Provide process improvement recommendations to leadership that can reduce overall department cost and/or increase productivity.
8. Contribute to development and continuous improvement of department styles, standards, operating procedures, and priorities.
9. Provide input to leadership on new processes or technology to enhance the Technical Publications business model.
10. Assist in the development of solutions to resolve technical data challenges.
11. Collaborate with leadership, team members, and other departments to develop/implement special projects.
12. Perform other duties as assigned.

Other Requirements:
1. Extensive knowledge of aircraft system methodology gained through practical experience is required.
2. Strong technical writing and communication skills are required.
3. Ability to read and interpret engineering data is required.
4. Experience with 3-D data is desired.
5. Thorough knowledge of aircraft/hand tools, test equipment, parts nomenclature, maintenance procedures, and aircraft equipment (obtained through experience, research, or training) is required.
 
Job Number: 10463
Job Location: Savannah, GA
Rate: $37.00/HR
Per Diem: Split Possible
Overtime: Possible
Duration: LT
Input Date: 11/14/2020
Firm Name: INCONEN CORPORATION
Attention: Patrick O'Neil
Address: 6133 BRISTOL PKWY STE 232
City, State: CULVER CITY, CA 90230
Phone: 310/410-1931
Fax Phone: 310/410-1751
Website: www.inconen.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Technical Writer W/MIL STD 40051-1 (121)

Description:
***Requires Active Secret Clearance to apply***

*This is a full time, one-year contract position located in Grande Prairie, TX*



We are seeking a hands on Technical Writer who will be responsible for gathering, writing, and organizing electrical/electronic technical materials for purposes of authoring electronic technical part descriptions, manuals/documentation, creating illustrations, etc.



Applicant will be expected to convert technical jargon so that any user can follow.



Contractor will be creating Electronic Technical Manuals (ETMs and IETMs), depot maintenance work requirements (DMWRs), engineering-level documents, end user Quick Reference Guides, initial creation of and adding new illustrations. The responsibilities will include ability to author original technical material from review of engineering drawings and discussions with subject matter experts.



Required:
*6 years' experience with hands on MIL Spec technical writing for electronic system manuals.
*Experience working with MIL-STD-40051-1
*Strong knowledge of XML Authoring Tool Experience (Arbortext, XMLSpy software)
*Understanding of electrical systems knowledge of diagnostic troubleshooting in order to identify faulty procedures and suggest corrections using schematics.
*End user/customer interfacing experience/good customer service skills
*Able to read technical drawings and blueprints.

*Overtime is available

*Per diem split is available for out of town contractors



Pluses:
*Experience with some combination of the following Arbortext, UltraEdit, Acrobat Pro, XSLT, IsoDraw
*MIL-STD-2361C knowledge
*4 year degree
*Experience with Launch Rocket Systems or Artillery Rocket Systems
*Knowledge of Logistics Management Information Systems, compliant with GEIA-STD-0007
 
Job Number: 121
Job Location: Grand Prairie, TX
Input Date: 11/14/2020
Firm Name: CSS STAFFING
Attention: Tom Clarke
Address: 263 E MAIN ST 2ND FL STE A
City, State: NEWARK, DE 19711
Phone: 302/737-4920
Website: www.cssstaffing.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Engineer CA

Description:
163973 Test Engineer

Work Site Address: Torrance, CA 90501
Job Number: 5833
Max Pay Rate: 45.00

Start Date: ASAP
End Date: 6 Months with possibility of CTH
Position Type: Contract

The Test Engineer/Conductor is responsible for performing the tests of assigned programs as well as engineering solutions to testing issues. As a Test Conductor, the incumbent will set up and perform tests, measure and record test data, and review, and analyze test results. As a Test Engineer, the incumbent will support the Senior Test Engineer in troubleshooting and resolving testing problems.

The Test Engineer/Conductor will be responsible for performing and overseeing electrical, mechanical, functional tests. This will involve manual set up of test, actual performance and monitoring of test, manual and automated measuring and recording of test data, and computer assisted analysis of the test results. Therefore the incumbent should be comfortable with hands-on type of work, have high attention to detail, and be proficient with the computer and MS Office tools. The incumbent will learn to operate motors and motor drivers, use various electronic measurement devices, and generate a variety of mechanical test setups from written procedures.

The Test Engineer/Conductor will also be responsible in supporting various engineering tasks. This will involve researching and defining test parameters and methods and preparing and utilizing various post processing scripts for data analysis. It will also involve reviewing and verifying test results, troubleshooting and fact-finding test discrepancies, updating or modifying work instructions, and maintaining test schedule. Therefore the incumbent should have excellent communication, organizational skills, and good analytical skills. The incumbent should also be a quick learner, self-motivated, work well in a team environment, multi-task, and take ownership of a task with minimal supervision.

Roles and Responsibilities
In all phases of the job, the Test Engineer/Conductor's tasks are governed by technical, cost, and schedule requirements and the incumbent must be able to work under pressure with minimum error and maximum efficiency. In rare cases, the incumbent must be flexible enough to perform some tasks out of the scope of this position in order to fulfill certain test requirements, under the direction of the Senior Test Engineer.

1. Setting up and performing tests; measuring, recording, and analyzing test data with minimum error and maximum efficiency.
2. Troubleshooting to resolve test discrepancies.

Qualifications and Education Requirements
1. B.S. in engineering or related field.
2. Knowledge of MS Office tools
3. Technical writing and communication skills.
4. Good interpersonal relationship skills (communication, attitude, teamwork, flexible) a must.
5. Knowledge and/or experience with strength testing and report writing.
 
Job Number: MB-5833
Job Location: Torrance, CA
Rate: 45/hr max
Per Diem: no
Duration: 6 months CTH possible
Input Date: 11/14/2020
Firm Name: MIDCOM CORPORATION
Attention: Jeana Edrozo
Address: 1275 N MANASSERO ST
City, State: ANAHEIM, CA 92807
Phone: 714/630-1999
800 Phone: 800/737-1632
Fax Phone: 714/459-7055
Website: www.midcom.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Technician

Description:
Job Responsibilities

• Conduct testing of prototypes and current products to determine capabilities of vehicles/systems and conformance to specifications and/or regulations in partnerships with engineers and senior level technicians
• Test and record data using operational knowledge of vehicle systems (electrical pneumatic, hydraulic) using manual entry and data acquisition. Troubleshoot systems, perform basic failure analysis and follow test procedures
• Assemble, disassemble and fabricate complex/customized parts, mechanical structures and test stands/fixtures in partnership with engineers and senior level technicians
• Maintain safe work environment, adhere to all safety procedures during all aspects of job performance which includes regular exposure to personal and physical hazards
• Operate heavy equipment/machinery or drive vehicles as required for the purpose of testing or material handling
• Determine appropriate test instruments for product testing
• Plan and schedule daily activities to complete assignments in their order of priority to make effective use of time and ensure projects are being completed within identified timeline
• Communicate effectively and work functionally to resolve technical problems including the ability to provide constructive feedback to all levels of the organization. Interpret and execute written/verbal directions and instructions.

Required Experience

• Technical diploma with 3 years of experience
• Knowledge of automotive and mechanical terms
• Ability to read and interpret documents such as safety rules, operating and maintenance instructions, government regulations and procedure manuals
• Experience operating heavy equipment/machinery

Required Skills

• Verbal and written communication skills
• Analytical and problem-solving ability
• Detail oriented
• Ability to adapt to a fast-paced environment
 
Job Location: Aberdeen, MD
Input Date: 11/14/2020
Firm Name: ARI GLOBAL SOLUTIONS
Attention: Hope Rhodes
Address: 221 ALTOFER LN
City, State: EAST PEORIA, IL 61611
Phone: 309/691-2533
Website: www.ariglobalsolutions.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Test Technician

Description:
A Test Technician job in Aberdeen, MD is currently available through Belcan. In this role, you will support senior technicians and engineers in testing vehicles and parts/components, performing configuration changes and repairs of vehicles and test stands, and following appropriate engineering procedures, standards, and specifications. This role may also provide support in the fabrication and assembly of vehicles and related systems/sub-systems. The objective is to validate new product designs as part of new product development as well as resolve issues on sustaining products.

Candidates must be US Citizens and be able to obtain a T1 Security Clearance

Test Technician Job Duties:

These duties are not meant to be all-inclusive and other duties may be assigned.

• Conduct testing of prototypes and current products to determine capabilities of vehicles/systems and conformance to specifications and/or regulations in partnership with engineers and senior level technicians.
• Test and record data using operational knowledge of vehicle systems (electrical pneumatic, hydraulic) using manual entry and data acquisition. Troubleshoot systems, perform basic failure analysis and follow detailed test procedures.
• Assemble, disassemble, and fabricate complex/customized parts, mechanical structures and test stands/fixtures in partnership with engineers and senior level technicians.
• Maintain a safe work environment, adhere to all safety procedures during all aspects of job performance which includes regular exposure to personal and physical hazards.
• Operate heavy equipment/machinery or drive vehicles as required for the purposes of testing or material handling.
• Determine appropriate test instruments for product testing.
• Plan and schedule daily activities to complete assignments in their order of priority to make effective use of time and ensure projects are being completed within identified timeline.
• Communicate effectively and work cross functionally to resolve technical problems including the ability to provide constructive feedback to all levels of the organization. Interpret and execute written/verbal directions and instructions.

Qualifications:
• Technical Diploma with three (3) years of experience in engineering or equivalent*.
• Knowledge of automotive and mechanical terms.
• A Commercial Driver’s License (CDL) or a Telehandler and AWP operator license.
• Ability to read and interpret documents such as safety rules, operating and maintenance instructions, government regulations and procedure manuals.
• Ability to adapt to a fast pace environment.
• Detail oriented.
• Verbal and written communication skills.
• Mechanic tool set and tool box (required at Defense segment).
• Ability to travel 50%.
 
Job Number: 290396
Job Location: Aberdeen, MD
Rate: 19.23-28.84
Duration: LT
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: BELCAN TECHSERVICES
Attention: Scott A Voorhees
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Weight Engineer

Description:
We are seeking an experience Weight Engineer to fill an opening for a company in Seattle, WA. Interested candidates should have aircraft certification experience in relation to weight and center of gravity in addition to flight test experience.

The responsibilities of the Weight Engineer:
Management of the aircraft weight & C.G.
Aircraft weight reduction activity and design weight growth study.
Certification activity related to weight and C.G.
Support of the sales, marketing, customer support, flight test, ground test and manufacturing tooling/process related to weight and C.G.
Check the status and forecast of aircraft weight and C.G.
Gathering the weight data / information of system and structure components from design team of MITAC and suppliers
Check the status weight of system and structure component vs target weight
Design weight growth plan
Determine or revise the design weight and C.G. envelope
Preparation of weight report and mass data for analysis, tests and manufacturing tooling / process
Preparation of weight and balance manual
Measurement of the aircraft, major assemblies, components
Improvements of weight data gathering and verification process
Prepare presentation materials and/or attend the meeting or discussion with aviation authorities.


Requirements
Aircraft certification experience in relation to weight and center of gravity.
Extensive flight test experience.
Four (4) year degree in Engineering (Aerospace, Avionics, Electrical, or Instrumentation).​

 
Job Location: Seattle, WA
Per Diem: Possible
Overtime: Yes
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: VELOCITY CONSULTING SOLUTIONS
Attention: Miguel Calderon
Address: 20306 15TH AVE NE STE A
City, State: SHORELINE, WA 98155
Phone: 206/812-1061
Website: www.velocity-cs.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Wire Design & Install Engineer

Description:
The candidate will mentor and coach lesser experience engineers providing knowledge transfer to aid in growing our next generation of engineers. The candidate will provide engineering and technical decisions for Military Aircraft, Military Derivative Aircraft (AEW&C, AWACS, C-32/C-40, E-4B, VC-25A, KC-767, B-1B, B-2, B-52 and others) and diverse projects. Assignments will provide an excellent opportunity to learn aircraft harness/cable design on the various platforms.

Other job responsibilities may include
• Develops and maintains cable/wire harness detail and assembly designs
• Analyzes results and performs design reviews
• Develops and maintains electrical product detail, assembly and installation designs (e.g., equipment racks, power panels, cable routing and geometry, antennas)
• Provides engineering design disposition on discrepancies (e.g., rejection tags, production action requests, shop revision requests)
• Documents processes, specifications and procedures that support the design and manufacture of electrical commodities
• Develops basic statements of work
• Participates in technical review of supplier capabilities to facilitate source selection
• Analyzes functional and physical input to assure development of complete integrated design
• Works under general mentorship

Must possess good organizational, interpersonal, and problem solving skills. Must have demonstrated a strong work ethic and sense of urgency for completing assigned work with a history of meeting commitments. Ability to adjust priorities to multiple demands and unanticipated events.

Boeing is the world's largest aerospace company and leading manufacturer of commercial airplanes and defense, space and security systems. We are engineers and technicians. Skilled scientists and thinkers. Bold innovators and dreamers. Join us, and you can build something better for yourself, for our customers and for the world.
review of supplier capabilities to facilitate source selection. Analyzes functional and physical input to assure development of complete integrated design. Works under general direction.
 
Job Number: 35459
Job Location: Oklahoma City, OK
Per Diem: yes
Overtime: possible
Duration: 6 months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: PDS TECH COMMERCIAL, INC
Attention: Angie Leahey
Address: 1215 FERN RIDGE PKWY STE 231
City, State: ST LOUIS, MO 63141
Phone: 314/628-9143
800 Phone: 800/472-3737
Fax Phone: 314/628-9485
Website: www.pdstech.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Wire Design & Install Engr 5

Description:
Wire Design & Install Engr 5

Location: Oklahoma City, OK
Duration: 6 months

Job Description:
OKC Electrical Harness/Cable Group is seeking senior electrical harness/cable engineers. The candidate will mentor, coach and lead lesser experience engineers providing knowledge transfer to aid in growing our next generation of engineers. The candidate will provide engineering and technical decisions for client Military Aircraft, Military Derivative Aircraft (AEW&C, AWACS, C-32/C-40, E-4B, VC-25A, KC-767, B-1B, B-2, B-52 and others) and diverse projects. Assignments will provide an excellent opportunity to learn aircraft harness/cable design on the various platforms.

Other job responsibilities may include
- Provides guidance in the development of cable/wire harness detail and assembly designs.
- Provides guidance in the development of electrical product detail, assembly and installation designs.
- Provides direction used by multiple teams to analyze complex results and performs design reviews.
- Provides guidance in engineering design disposition on discrepancies. Defines processes, specifications and procedures that support the design and manufacture of electrical commodities.
- Provides direction used by multiple teams to develop complex statements of work, metrics, schedules and budgets.
- Directs the technical review of supplier capabilities to facilitate source selection.
- Directs the development of functional and physical design requirements to ensure complete integrated design architecture.
- Works under consultative mentorship

Must possess good organizational, interpersonal, and problem solving skills. Must have demonstrated a strong work ethic and sense of urgency for completing assigned work with a history of meeting commitments. Ability to adjust priorities to multiple demands and unanticipated events.

Client is the world's largest aerospace company and leading manufacturer of commercial airplanes and defense, space and security systems. We are engineers and technicians. Skilled scientists and thinkers. Bold innovators and dreamers. Join us, and you can build something better for yourself, for our customers and for the world.

Skill Code:
652-Wiring Design
 
Job Number: 19-03721
Job Location: Oklahoma City, OK
Per Diem: split
Overtime: possible
Duration: 6 months
Start Date: 3/29/19
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Constance Whitcomb
Address: 859 STEPHENSON HWY
City, State: TROY, MI 48083
Phone: 843/955-9221
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Wire Harness Designer

Description:
Description
Produce wire harness assembly (formboard) drawings
Produce wire harness manufacturing instructions
Produce wire harness termination drawings
Produce wire harness installation instructions

Qualifications:
Strong understanding of wire harness manufacturing process and formboard drawings
Strong understanding of electrical schematics & termination drawings
Experience working with electrical designers during schematic creation
Experience integrating wire harnesses in vehicle
Extensive knowledge of aircraft-type wiring and components such as connectors, backshells, conduits, relays, switches, terminal junction system components, etc.
Catia V5 Electrical Workbench is a plus
Experience with Mentor Graphics is a plus
Experience with composites is a plus
Must have a B.S. Engineering degree from an accredited university

The Structures Company is an AA/EOE.
 
Job Number: 267
Job Location: CA
Rate: Up to $65/Hr. **DOE**
Per Diem: Yes
Overtime: Yes
Duration: 12+ Months
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: STRUCTURES COMPANY LLC
Attention: Debbie Aubert
Address: P O BOX 2278
City, State: SEAL BEACH, CA 90740
Phone: 714/823-3000
Website: www.thestructurescompany.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Wiring Harness Designer

Description:
Position : Wiring Harness Designer
Location: Cedar Falls, IA
Duration: 3 years

Description:
Plans, coordinates and performs designs for complex components and assemblies using the preferred 3-Dimensional (3D) Computer Aided Design (CAD) tool and protocols. Uses technical judgment. May work with junior personnel to complete tasks.

Duties:
Plans, coordinates and performs design work for complex 3D engineering models and components. Exhibits detailed knowledge of Geometric Dimensioning & Tolerancing (GD&T) Standards and can apply it to many varied situations. Performs as expert user of 3D Computer Aided Design (CAD) system with considerable experience in Top Down design work for complex 3D engineering models and components. May provide document maintenance and have responsibility for placement and routing of electronic circuits. May create Printed Circuit Board (PCB) fabrication drawings, plan PCB projects and create electronic schematic diagrams. Provides modeling leadership and counsel to other employees.

Specific Position Requirements:
Manager is looking for candidates with mechanical backgrounds who have electrical knowledge.

Additional Job Description:
- Manage execution of small projects related to harness changes
- Create designs and modify existing designs using Creo Cabling
- Work with manufacturing and quality engineers to identify improvements to designs
- Interact with other design teams and the electrical team to coordinate co-effective changes
- Work with supplier(s) to determine best design practices and components
- Pull part numbers and decisions for parts being designed using PTC Windchill/SAP
- Review and approve two dimensional harness drawings
- Participate on a cross functional team and perform design reviews

Requirements:
- Ability to work independently and coordinate with other stakeholders
- Great communication skills
- 3+ years of experience with ProCable
- 3+ years of harness or cable design for vehicles

Nice-to-haves:
BS degree in Mechanical or Agricultural Engineering
Practical and/or design experience with Agricultural and/or Off-highway Equipment
Advanced experience with Pro/E, especially the Pro/Cabling module.
Experience with Capital Harness Systems (CHS)
Read electrical schematics to relate to wire harness designs

Please share resume at rchaudhary@iconma.com or call (804)915-9602
 
Job Number: 19-02028
Job Location: Cedar Falls, IA
Rate: Open
Per Diem: YES
Overtime: YES
Duration: 3 Years
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: ICONMA LLC
Attention: Rohit
Address: 850 STEPHENSON HWY STE 612
City, State: TROY, MI 48083
Website: www.iconma.com

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Firmware engineer

Description:
Seeking an Electrical Design & Analysis Engineer with an emphasis on firmware development to join the Advanced Navigation and Processors team.

Position Responsibilities:
Your duties as a firmware engineer will include (but are not limited to):
• Develop and maintain detailed requirements and specifications for FPGAs (Field Programmable Gate Array) and/or SoCs (System on Chip) implemented on processing platforms.
• Provide engineering support throughout the lifecycle of the processor circuit card assembly (CCA) design, conduct trade studies and literature research to support future product designs

Looking for candidates with experience in the following areas:
• Electrical / electronics engineer for VHDL coding of Field Programmable Gate Arrays (FPGA) and SoCs
• Design experience and implementation of high-performance digital signal processing hardware using FPGAs.
• Build and use of verification test benches
• Experience with Xilinx and/or Altera devices and tools such as Xilinx Vivado and ChipScope are desired.
• Hands-on experience of programming over JTAG and bring-up in a lab environment, gathering data for technical performance measures and performing basic analysis.
• Basic understanding of the following interfaces: RS-232, RS-422, RS-485, UART, PCIe, Ethernet, 1553 bus, CAN bus, AXI bus interface (xilinx specific), memory interfaces (nand flash, DDR2, DDR3)
• Version control utilities

Education:
Bachelor's and 9 or more years' experience, Master's with 7 or more years' experience or PhD with 4 or more years' experience. Bachelor, Master or Doctorate of Science degree from an accredited course of study, in engineering, computer science, mathematics, physics or chemistry.
 
Job Number: FIRMWARE2019
Job Location: Saint Charles, MO
Rate: DOE
Per Diem: Possible
Overtime: Possible
Duration: 6 mon
Start Date: ASAP
Input Date: 11/14/2020
Firm Name: INNOVA SOLUTIONS, INC
Attention: Vibhas Sadhu
Address: 1750 112TH AVE NE STE C228
City, State: BELLEVUE, WA 98004
Phone: 425/605-2321
Fax Phone: 425/558-0688
Website: www.innovasolutions.com

Back to Advanced Job Search