Job Search Results

246 listings were found that matched your search words: Keywords: Civil OR Industrial ( Please note: We assume that all U.S. jobs are "EOE/M/F/D/V" unless otherwise noted. ) ( Listing generated 2024/06/30 Sunday 12:10AM Pacific Time by ContractJobHunter. )

Listings 171 - 171

Go To My Job Tracker

Expand all descriptions

THIS JOB IS NO LONGER AVAILABLE. THIS IS ARCHIVAL INFORMATION.
Principal Physical Design Engineer

Description:
A Principal Physical Design Engineer role located in Chandler, AZ is currently available through Belcan. The Principal Physical Design Engineer will drive the successful execution of microcontroller and microcontroller based SOC designs. To be considered for this position, Extensive Physical Design experience is a requisite. The successful candidate will have a minimum of 7 years or more of applicable technical experience in Physical Design and relevant areas.

Principal Physical Design Engineer duties:
* Implement complex mixed signal low power designs using state-of-the-art FLASH process.
* Utilize both flat and hierarchical flows to implement designs with more than 1M instances.
* Work independently and efficiently on assigned project responsibilities from new design exploration through delivery of GDS (netlist to GDSII flow).
* Metal only ECO implementation.
* Physical Design support for test chip shuttles. This includes development work associated with new concepts and flow.
* Work on Physical Design flow, utility, and methodology development, including documentation.
* Power integrity and reliability analysis, and sign off.
* Floorplan exploration and optimization for die size estimation. Bondout approval.
* Power domain/voltage area-based floor planning. Power grid planning and implementation.
* Post-route timing optimization and timing closure. Timing/Signal Integrity analysis.
* Chip and block level implementation.
* Flow and methodology development.
* Physical Verification (DRC/LVS).
* IR drop and EM signoff.
* Timing IPO and metal only ECO implementation.

EDUCATION AND EXPERIENCE REQUIRED:
* Expertise in Physical Design activities: Floor-planning, CTS, P&R, Extraction, Power, IR/EM, Physical Verification (DRC/LVS), Signal Integrity, physically aware timing closure etc.
* Advanced knowledge of place and route methodologies, low power physical design flows, and methodologies.
* Expertise with physical implementation and analysis tools such as Innovus or ICC/ICC2, Calibre, Redhawk, Starrcxt or QRC etc.
* Experience in scripting (TCL, PERL & Shell) and working knowledge of HDL (Verilog, VHDL).
* Excellent analytical and debugging skills and the ability to proactively solve issues.
* Independent and self-directed individual with excellent oral and written communications skills.
* Ability to lead and mentor others and work under challenging environment.
* Experience with 40nm technologies is required. Working knowledge of technology nodes of 28nm and below would be a positive addition to the skill set.
* Several chip tapeouts with top/chip level lead experience is desired.


If you are interested in this Principal Physical Design Engineer role located in Chandler, AZ please apply via the 'apply now' link provided.

Belcan is a global supplier of engineering, technical recruiting, and IT services to customers in the aerospace, industrial, and government sectors. Belcan engineers' better outcomes through adaptive and integrated services-from jet engines, airframe, and avionics to heavy vehicles, chemical processing, and cybersecurity. Belcan takes a partnering approach to provide customer-driven solutions that are flexible, scalable, and cost-effective. Our unique capabilities have led to continuous growth and success for over 60 years. We are a team-driven Equal Opportunity Employer committed to workforce diversity. All qualified applicants will receive consideration for employment without regard to status as a protected veteran or a qualified individual with a disability, or other protected status such as race, religion, color, national origin, sex, sexual orientation, gender identity, genetic information, pregnancy or age.

 
Job Number: 320605
Job Location: Chandler, AZ
Rate: --
Per Diem: --
Overtime: --
Start Date: --
Input Date: 02/09/2022
Last Updated: 05/17/2022
Firm Name: BELCAN TECHSERVICES
Attention: BarrySparks
Address: 7820 REDSKY DR
City, State: CINCINNATI, OH 45249
Phone: 513/432-2995
800 Phone: 800/945-1900
Website: www.belcan.com

Back to Advanced Job Search